尔雅微电子工艺原理答案(学习通2023完整答案)

分类: 高考题库发布于:2024-06-02 13:16:13ė93606次浏览674条评论

尔雅微电子工艺原理答案(学习通2023完整答案)

第1章 硅片的尔雅制备

第一讲 作业

1、在硅片晶向、微电掺杂类型介绍中,工艺由硅片断裂边形成的原理角度是60o可知硅片是什么晶向?
A、(100)
B、答案(111)
C、学习(110)
D、通完(211)

2、整答磷在硅熔体与晶体中的尔雅分凝系数约为0.35,这使得液相掺杂拉制的微电掺磷硅锭的电阻率:
A、轴向均匀
B、工艺轴向递减
C、原理轴向递増
D、答案径向递减

3、学习关于拉单晶时进行的通完缩颈步骤,下面的说法那种正确
A、可以多次缩颈
B、为了能拉出与籽晶相同的硅锭
C、为了终止籽晶中的线缺陷向晶锭的延伸
D、为了终止与籽晶结合处的缺陷向晶锭的延伸

4、在空间微重力室用CZ法也能拉制出大尺寸优质晶锭

5、拉单晶的干锅污染主要是由于坩埚材料分解出的 造成。

第二讲 介绍第2章 外延,包括:外延概述、汽相外延、分子束外延、其它外延、外延层缺陷及检测共五节内容

第二讲 作业

1、VPE制备n+/p-Si,结果pn结进入了衬底,这是什么原因造成的:
A、自掺杂效应
B、互扩散效应
C、衬底表面没清洗干净的缘故。
D、掺杂气体不纯

2、在VPE、MBE、SEG、LPE、SPE、UHV/CVD、MOCVD中,哪种外延方法能生长杂质陡变分布的薄外延层?
A、MBE
B、VPE、LPE
C、UHV/CVD
D、SEG、SPE

3、如果外延速率偏低,只要增大外延气体中硅源(如SiCl4)浓度,硅的气相外延速率就会增加。

4、外延工艺就是在晶体衬底上,用物理的或化学的方法生长 薄膜。

5、VPE制备n-/n+ -Si用硅烷为源,硅烷是在 完成的分解。 可从下面选择: 气相 硅片表面 n-/n+Si界面

第三讲,介绍第3章 热氧化,包括:SiO2薄膜概述、硅的热氧化、初始氧化阶段及薄氧化层制备等6节内容

第三讲 作业

1、通常掩膜氧化采用的工艺方法为:
A、掺氯氧化
B、干氧
C、干氧-湿氧-干氧
D、低压氧化

2、关于氧化速率下面哪种描述是正确的:
A、生长非常薄(<十几nm)的栅氧化层时,氧化速率服从线性规律
B、温度升高氧化速率迅速增加
C、(111)硅比(100)硅氧化得快
D、有杂质(如Na、P等)存在,氧化速率降低
E、生长的氧化层较薄时,氧化速率服从线性规律
F、生长的氧化层较厚时,氧化速率服从线性线规律

3、制作一硅晶体管芯片,在最后用热氧化方法制备了一层SiO2作为保护层。

4、热氧化速率快慢排序: 氧化最快、 氧化次之、 氧化最慢。 (从“干氧、湿氧、水汽”中选择填空,中间用“、”隔开)

5、热氧化过程中杂质在SiO2/Si界面的浓度是突变的,这是由杂质 引起的。(两个字)

第四讲 介绍第4章 扩散,包括:扩散机构、晶体中扩散的基本特点及宏观动力学方程、杂质的扩散掺杂等共7节内容。

第四讲 作业

1、
A、图(a)是限定源扩散,图(b)恒定源扩散
B、图(a)、(b)都是限定源扩散
C、图(a)、(b)都是恒定源扩散
D、图(a)是恒定源扩散,图(b)限定源扩散

2、扩散掺杂,扩散区要比掩膜窗口尺寸 ,这是 效应引起的,它直接影响超大规模集成电路的集成度。
A、大,横向扩散
B、小,横向扩散
C、大,场助扩散
D、大,氧化增强

3、扩散系数在何时不可以看成是常数:
A、在中等浓度p型硅上扩散掺入n型杂质;
B、在重掺杂p型硅上扩散掺入n型杂质;
C、在本征硅上扩散掺入中等浓度的杂质硼。
D、在本征硅上扩散掺入高浓度的杂质硼,同时进行氧化。

4、一扩散,采取两歩工艺:预淀积温度高(1200℃)时间长(50min),再分布温度低(970℃)时间短(30min),杂质近似为服从高斯分布。

5、在p-Si中扩磷13分钟,测得结深为0.5μm,为使结深达到1.5μm,在原条件下还要扩 分钟。(只保留整数)

第五讲 介绍第5章 离子注入,包括:离子注入原理、注入离子在靶中的分布、注入损伤等,共八节内容

第五讲 作业

1、在离子注入掺杂时,有少部分杂质进入衬底后穿过较大距离,这种现象就是 。当偏离晶向 ψc注入时,可以避免。
A、沟道效应,<
B、沟道效应,>
C、横向效应,<
D、横向效应,>

2、形成B的超浅结掺杂(剂量为QB)时,为了避免沟道效应可否先注入锑(剂量为QSb)再注入硼?实际注入了多少硼?
A、不可以
B、可以,实际注硼:QB+QSb
C、可以,实际注硼:QB-QSb
D、可以,实际注硼:QB

3、基于LSS理论,判断对下图分析的对错:
A、该入射离子是低能注入;
B、该入射离子是高能注入;
C、入射离子在靶中由A运动到B主要受到靶原子核阻滞;
D、入射离子在靶中由A运动到B主要是受到靶电子阻滞;
E、入射离子在靶中由B运动到C主要受到靶原子核阻滞;
F、入射离子在靶中由B运动到C主要是受到靶电子阻滞。

4、关于离子注入区形成非晶层的临界剂量,下面哪几种说法正确:
A、注入离子越轻,临界剂量越小;
B、靶温升高,临界剂量上升;
C、注入离子能量越高,临界剂量越低;
D、注入离子剂量率增大,临界剂量降低。

5、离子注入硼无需退火就有电活性,所以才会出现随退火温度升高反而电激活率下降的逆退火现象。

期中测验

1、在p-Si中扩磷20分钟,测得结深为0.5μm,为使结深达到0.8μm,在原条件下还要扩散多长时间?
A、51.2min
B、31.2min
C、117min
D、104min

2、在已扩散结深达0.8μm的p-Si上再进行湿氧,氧化层厚0.2μm时,结深是多少?(湿氧速率很快, 短时间的氧化可忽略磷向硅内部的推进)
A、0.088μm
B、0.712μm
C、0.512μm
D、0.6μm

3、掺杂浓度分布如下图,请判断对错:
A、(a)、(b)是扩散掺杂,杂质浓度都是余误差分布;
B、(c)是离子注入掺杂,是高斯分布;
C、(a)是限定源扩散掺杂,是余误差分布;(b)是恒定源扩散,是高斯分布;
D、(a)是限定源扩散掺杂,是高斯分布;(b)是恒定源扩散,是余误差分布;
E、(a)、(c) 杂质浓度都是高斯分布;
F、(b)、(c) 杂质浓度都是高斯分布,都是离子注入掺杂。

4、离子注入技术有横向效应,扩散工艺没有横向效应

5、锑在硅中的最大固溶度只有5*10的19次方原子/cm3,但某芯片埋层掺杂要求掺入锑最大浓度应达5*10的20次方原子/cm3,因此,采用 掺杂。

第七讲 介绍第7章物理汽相淀积,包括PVD概述、真空系统及真空的获得、真空镀铝等五节内容

第七讲 作业

1、为了避免尖楔现象用含1%硅的硅铝合金制备IC内电极,多采用下列哪种工艺方法:
A、磁控溅射
B、反应溅射
C、电阻蒸镀
D、LPCVD
E、射频溅射
F、PECVD

2、从两电极面积判断射频溅射时,靶放在那个电极上、衬底放在那个电极上?
A、靶放在面积小的电极上
B、靶放在面积大的电极上
C、衬底放在面积大的电极上
D、衬底放在面积小的电极上

3、溅射与蒸镀比较,下列那种说法正确:
A、溅射工艺薄膜质量(如粘附性、保形性等)更好
B、蒸镀工艺薄膜质量(如粘附性、保形性等)更好
C、蒸镀工艺的普适性更好
D、溅射工艺的普适性更好

4、薄膜应力与测量时的温度有关。

5、铝的蒸发温度是1250℃,这时它的平衡蒸汽压是 Pa。(精确到小数点后两位)

第六讲,介绍第6章 化学汽相淀积,包括:CVD概述,CVD工艺原理、方法,二氧化硅薄膜淀积等共七节内容。

第六讲 作业

1、LPCVD-SiO2,将工艺控制在较高温度,有:ks>>hg,此时淀积速率的特点为:
A、温度的较小变化都会对淀积速率有较大影响;
B、淀积速率受气相质量输运控制;
C、淀积速率受表面化学反应控制;
D、反应剂气体浓度的变化对淀积速率的影响不大。

2、poly-Si薄膜通常是采用什么方法制备的?
A、PECVD
B、LPCVD
C、APCVD
D、LCVD

3、关于PECVD-Si3N4薄膜的下列说法哪个对?
A、含H;
B、抗腐蚀性好;
C、台阶覆盖性较好;
D、是中温工艺;
E、常作为芯片的保护膜;
F、常作为腐蚀掩膜。

4、等离子体是物质的一种热平衡存在形态。

5、CVD工艺反应剂气体分子到达衬底表面特殊位置的机制有:扩散; ;表面迁移。(三个字)

第八讲 介绍第8章 光刻工艺,包括光刻概述,光刻工艺流程以及光刻技术等8节内容。

第八讲 作业

1、光刻工艺是按照下列哪种流程顺序进行操作?
A、打底膜、涂胶、前烘、曝光、坚膜、显影、刻蚀、去胶
B、打底膜、前烘、涂胶、曝光、坚膜、显影、刻蚀、去胶
C、打底膜、涂胶、前烘、曝光、显影、坚膜、刻蚀、去胶
D、打底膜、涂胶、前烘、曝光、显影、坚膜、去胶、刻蚀

2、下列有关曝光的描述正确的是:
A、确定图案的精确形状和尺寸;
B、步进曝光机一次就可以完成曝光;
C、使受光照射区域的光刻胶膜发生化学反应形成潜影;
D、需要进行准确对版后再曝光,才能保证各次光刻的套准精度。

3、关于光学光刻,下列哪种方法可以获得高分辨率?
A、采取浸入式光刻方法
B、光源为紫光
C、驻波效应对分辨率无影响
D、使用移相掩膜技术制备的光刻版

4、正胶的感光区域在显影时不溶解,负胶的感光区域在显影时溶解。

5、IC芯片的横向结构是通过 工艺实现的。(填2个字)

第九讲 介绍第九章 刻蚀技术 包括:刻蚀技术概述、湿法刻蚀技术、干法刻蚀技术及常用薄膜的刻蚀等共5节内容。

第九讲 作业

1、光刻工艺所需要的三要素为:
A、光刻胶、掩模版和光刻机
B、光源、光刻胶和曝光时间
C、光刻胶、掩模版和光刻焦深
D、光源、掩模版和超净间
E、光源、光刻胶和掩模版

2、涂胶以后的晶片,需要在一定的温度下进行烘烤,这一步骤称为 。
A、后烘
B、去水烘烤
C、预烘
D、烘烤

3、大尺寸硅片上生长的 的不均匀和各个部位刻蚀速率的不均匀会导致刻蚀图形转移的不均匀性 。
A、薄膜厚度
B、图形宽度
C、图形长度
D、图形间隔

4、在SiO2/Si刻蚀过程中等离子体对硅的刻蚀速率必须控制在非常低的程度,否则SiO2被清除的同时硅也大量被侵蚀。

5、单晶硅刻蚀一般采用 做掩蔽层。(在下面选择一个,填入) 光刻胶、金属、二氧化硅、多晶硅

第十讲 介绍典型工艺集成,包括金属化、隔离技术,以及CMOS电路、双极型电路工艺。

第十周作业

1、通常采用重掺杂硅与金属接触来实现互连系统的欧姆接触,此时硅的掺杂浓度N> atoms/cm3。
A、10∧18
B、10∧19
C、10∧20
D、10∧21

2、IC采用铝互连系统时,下列哪种方法可以避免Al-Si的尖楔现象:
A、在淀积的铝膜中掺入约1%Cu;
B、在淀积的铝膜中掺入约1%Si;
C、在淀积铝之前先淀积一薄层TiN薄膜;
D、在铝膜表面覆盖Si3N4。

3、LOCOS的2)、3)工艺步骤是采取下列哪种工艺方法:
A、2)热氧化生长SiO2
B、2)APCVD制备SiO2
C、3) RIE去除Si3N4
D、3) HF腐蚀去除Si3N4

4、CMOS IC的电隔离通常是采用pn结隔离。

5、表征电迁移现象的MTF是指 %互连线失效的时间。

期末考试

2018秋季微电子工艺期末考试

1、CZ法拉不出高阻单晶硅锭的主要原因是:
A、坩埚材料分解出的氧会进入硅锭;
B、干锅清洗不干净造成;
C、多晶硅原料纯度不够高;
D、气相杂质融入熔体再进入了硅锭。

2、实际VPE工艺温度多在质量传递控制区,此时外延速率:
A、对温度非常敏感;
B、对温度不太敏感;
C、源的气相扩散的影响不大
D、源气体分压的影响不大。

3、关于硅的热氧化,下面哪种说法正确:
A、湿氧比干氧慢得多;
B、水汽氧化层比干氧氧化层致密;
C、氧化反应是在Si/SiO2界面发生的;
D、升高氧气(或水汽)分压不影响生长速率。

4、在D-G模型中假定稳定生长氧化层时,氧化剂的气相输运、固相扩散和化学反应三个流密度应:
A、不等;
B、相等;
C、气相输运>固相扩散>化学反应;
D、气相输运<固相扩散<化学反应。

5、对晶体中的慢扩散杂质,下列晶体缺陷中对其扩散速率影响最大的是:
A、自填隙;
B、空位;
C、替位杂质;
D、填隙杂质。

6、看图判断下列描述是否正确:
A、是有限源扩散,杂质浓度分布是高斯函数;
B、是有限源扩散,杂质浓度分布是余误差函数;
C、是恒定源扩散,杂质浓度分布是高斯函数;
D、是恒定源扩散,杂质浓度分布是余误差函数。

7、CVD可分为低温工艺、中温工艺、高温工艺,不同温度制备的同种薄膜(如SiO2)的密度:
A、都相同;
B、温度升高,略有增加;
C、温度升高,略有下降;
D、与温度无关。

8、基于LSS理论,离子注入受到靶原子核与电子的阻止:
A、核阻止和电子阻止是独立的;
B、核阻止和电子阻止与入射离子能量无关;
C、核阻止本领<电子阻止本领;
D、核阻止本领>电子阻止本领。

9、多晶硅薄膜通常采取哪种方法制备:
A、APCVD
B、磁控溅射
C、LPCVD
D、VPE

10、PVD与CVD比较,下列那种说法正确:
A、PVD薄膜的保形性更好;
B、PVD薄膜与衬底的粘附性较差;
C、CVD工艺温度更低;
D、CVD普适性更好。

11、关于正胶和负胶的特点,下列哪种说法正确?
A、负胶的分辨率比正胶好;
B、正胶在显影时感光区发生交联反应;
C、负胶的感光区域在显影时溶解;
D、正胶的感光区域在显影时溶解。

12、外延用衬底硅片一般偏离准确晶向一个小角度,如(111)-Si偏离3o,下列那种说法正确?
A、这是切片误差;
B、这是为外延生长提供更多的结点位置;
C、这是为了得到原子层量级的台阶;
D、这是切片工艺本身要求的。

13、硅恒定源扩散,在扩散温度硅的固溶度为Ns,在进行了40min扩散后,测得结深是1.5μm,若要获得2.0μm的结深,在原工艺基础上应再扩散多少分钟?硅表面杂质浓度是多少?
A、应再扩散71min
B、表面杂质浓度等于该工艺温度时硅的固溶度;
C、应再扩散31 min
D、杂质表面浓度=Ns
E、杂质表面浓度<Ns

14、P在两歩扩散工艺中,第二步再分布的同时又进行了热氧化(kp=10),这会给再分布扩散带来哪些影响:
A、P扩散速度不变;
B、P扩散速度加快;
C、扩散速度减慢;
D、扩入Si的P总量下降;
E、在SiO2/Si界面Si一侧的P堆积(是指高于SiO2一侧);
F、在SiO2/Si界面Si一侧的P耗竭(是指低于SiO2一侧)。

15、扩散系数是表征扩散快慢的参数,它相当于单位浓度梯度时的扩散通量,所以它:
A、有单位;
B、无单位;
C、单位为m∧2/s
D、单位为m/s

16、在Si中注入P+,能量为100KeV,剂量是10∧13离子/cm∧2,查表可知Rp≈0.12μm,ΔRp=0.042μm,峰值浓度是多少?在0.2μm处杂质浓度是多少?
A、峰值浓度为9.5×10∧17离子/cm;
B、在0.2μm处杂质浓度是3.7×10∧17离子/cm∧2;
C、峰值浓度为9.5×10∧13离子/cm∧2;
D、在0.2μm处杂质浓度是3.7×10∧13离子/cm∧2。

17、看图判断,下列哪种描述正确:
A、图(a)是注入的低能离子;
B、图(a)是注入的高能离子;
C、图(b)是注入的低能离子;
D、图(b)是注入的高能离子。

18、下列哪个工艺方法应用了等离子体技术:
A、PECVD
B、LPCVD
C、HDPCVD
D、APCVD
E、RIE
F、MOCVD
G、溅射
H、蒸镀

19、蒸镀工艺要求蒸镀室为高真空度的原因:
A、为了提高蒸发分子(或原子)的平均自由程;
B、为了制备的镀膜表面更平坦;
C、为了避免蒸发分子(或原子)被氧化;
D、为了减小蒸发分子(或原子)的平均自由程;
E、为了降低镀膜中的杂质;
F、为了提高镀膜的台阶覆盖性。

20、可以采取哪种方法来提高光刻分辨率?
A、缩短光源波长;
B、减小光学系统数值孔径;
C、减小分辨率系数;
D、增长光源波长;
E、增大光学系统数值孔径;
F、增大分辨率系数。

21、关于铝膜下列哪种说法正确:
A、与硅、二氧化硅的粘附性好;
B、光刻难;
C、抗电迁移性差;
D、耐腐蚀性好;
E、与硅接触可能出现尖楔现象;
F、稳定性好。

22、CMOS IC通常采取那种隔离方法:
A、pn结隔离;
B、局部场氧化;
C、混合隔离;
D、浅槽隔离。

23、CZ法、MCZ法拉单晶时必须有籽晶;而FZ法拉单晶时不需要籽晶。

24、中子嬗变掺杂不能用于制备p型硅锭。

25、MBE是一种先进的物理气相外延技术。

26、在硅片上干氧生长十几nm的氧化层时,可采用D-G模型的线性速率公式来准确计算所需时间。

27、实际扩散工艺通过调整炉温比调整扩散时间对结深的影响更大。

28、离子注入不可以使用金属薄膜作为掺杂掩膜。

29、CVD工艺当hg<<ks时,薄膜淀积速率受表面反应控制,对温度很敏感。

30、蒸镀工艺必须在高真空度进行。

31、涂胶前的打底膜是为了增强光刻胶与衬底之间的浸润性。

32、湿法腐蚀比干法刻蚀的各向异性好。

33、IC芯片上各元件之间不必进行电隔离。

34、当前制备单晶硅锭的方法主要有 CZ 、 、FZ 三种方法。

35、低压气相外延能降低 效应,从而降低了外延时的杂质再分布。

36、热氧化工艺本质上是在硅与二氧化硅 发生的硅的氧化反应。

37、在硅片上热氧化0.5μm厚的氧化层时,硅片增厚了 μm。

38、进行限定源扩散,在扩散40min后,测得结深是2.1μm,若要获得2.3μm的结深,还约扩散 min。(结深加深不大,可忽略表面浓度变化)(计算结果保留到个位)

39、离子注入杂质浓度分布服从高斯分布函数, 是杂质浓度最大的位置。(写文字)

40、常压CVD反应剂分子到达衬底表面空洞等底部特殊位置的机制有: 、再发射、表面迁移三种。(填两个字)

41、制备TiO2等介质薄膜可以采用 溅射方法。

42、扩散掺杂时多晶硅的扩散系数比单晶硅的扩散系数 得多。

43、多组分多源蒸发的方法有:同时蒸发, 蒸发两种。

44、干法刻蚀的方式主要有:溅射刻蚀、等离子体刻蚀、 刻蚀三种。(写文字)

45、铝膜作为器件的内电极,有抗电迁移性 的特点。(一个字)

46、光刻工艺流程:打底膜→ →前烘 →曝光→显影→坚膜→刻蚀→去胶。

47、在硅表面LPCVD-Si3N4之前,都会先热生长薄氧化层,它被称为 层。

学习通微电子工艺原理

一、前言

微电子工艺原理是微电子技术的基础,掌握微电子工艺原理对于从事微电子技术相关工作的人员来说至关重要。学习通微电子工艺原理课程,可以帮助学习者深入了解微电子工艺的基本概念、工艺流程和材料特性,掌握微电子加工工艺的基础理论和实践技能。

二、课程内容

学习通微电子工艺原理课程内容包括微电子工艺基础、半导体材料和器件、微电子加工流程和微电子器件制造等方面。

1. 微电子工艺基础

微电子工艺基础是微电子技术的基础,包括微观物理、半导体物理、微电子器件和集成电路等方面。学习通微电子工艺原理课程中,学习者可以深入了解微观物理和半导体物理的基础知识,例如晶体生长、晶体缺陷、晶体掺杂、PN结、MOS结等;同时还可以学习到微电子器件和集成电路的基本原理和结构。

2. 半导体材料和器件

半导体材料和器件是微电子加工工艺的核心内容,学习通微电子工艺原理课程中,学习者可以了解到半导体材料的种类、特性和制备方法,例如硅材料、砷化镓材料、氮化硅材料等;同时还可以学习到半导体器件的基本原理和性能,例如二极管、MOSFET、BJT等。

3. 微电子加工流程

微电子加工流程是实现微电子器件制造的关键,学习通微电子工艺原理课程中,学习者可以了解到微电子加工的基本流程和主要步骤,例如光刻、蚀刻、沉积、离子注入等;同时还可以学习到微电子加工中常用的工艺设备和工艺技术。

4. 微电子器件制造

微电子器件制造是微电子加工工艺的最终目的,学习通微电子工艺原理课程中,学习者可以了解到微电子器件制造的基本流程和关键技术,例如器件设计、掩膜制备、工艺流程和设备选型等。

三、学习收获

学习通微电子工艺原理课程,可以帮助学习者深入了解微电子工艺的基本原理和实践技能,拓展自己的专业知识和技能,提高自己的学习和工作能力。

四、总结

学习通微电子工艺原理课程是掌握微电子工艺基础知识的重要途径,通过学习该课程,学习者可以全面了解微电子工艺基础、半导体材料和器件、微电子加工流程和微电子器件制造等方面的内容,提高自己的专业知识和技能,拓展自己的职业发展空间。



Ɣ回顶部