尔雅EDA技术_8期末答案(学习通2023题目答案)

尔雅EDA技术_8期末答案(学习通2023题目答案)

项目1 认识EDA技术及可编程逻辑器件

项目1单元测验

1、尔雅EDA的术期中文含义是( )。
A、末答电子设计自动化
B、案学工程设计自动化
C、习通电气设计自动化
D、题目电子设计应用

2、答案大规模可编程器件CPLD 通过( )实现其逻辑功能。尔雅
A、术期乘积项
B、末答查找表
C、案学输入缓冲
D、习通输出缓冲

3、题目下列对CPLD结构与工作原理的答案描述中,正确的尔雅是( )。
A、CPLD是现场可编程逻辑器件的英文简称
B、CPLD是基于查找表结构的可编程逻辑器件
C、早期的CPLD是从GAL的结构扩展而来
D、在Altera公司生产的器件中,FLEX10K系列属CPLD结构

4、可编程逻辑器件的英文简称是( )。
A、FPGA
B、PLA
C、PAL
D、PLD

5、现场可编程门阵列的英文简称是( )。
A、FPGA
B、PLA
C、PAL
D、PLD

6、在EDA中,ISP的中文含义是( )。
A、网络供应商
B、在系统编程
C、没有特定意义
D、使用编程器烧写PLD芯片

7、在EDA中,IP的中文含义是( )。
A、网络供应商
B、在系统编程
C、没有特定意义
D、知识产权核

8、下列对FPGA结构与工作原理的描述中,正确的是( )
A、FPGA全称为复杂可编程逻辑器件
B、FPGA是基于乘积项结构的可编程逻辑器件
C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置
D、在Altera公司生产的器件中,MAX7000系列属FPGA结构

9、在EDA技术中,提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为( )。
A、软IP
B、固IP
C、硬IP
D、全对

10、在EDA技术中IP核分软IP、固IP、硬IP;下列所描述的IP核中,对于硬IP的正确描述为( )。
A、提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路
B、提供设计的最终产品----掩膜
C、以网表文件的形式提交用户,完成了综合的功能块
D、都不对

11、基于下面技术的PLD器件中允许编程次数最多的是( ) 。
A、FLASH
B、EEPROM
C、SRAM
D、PROM

12、大规模可编程器件FPGA 通过( )实现其逻辑功能。
A、乘积项
B、查找表
C、输入缓冲
D、输出缓冲

13、在EDA中,ASIC的中文含义是( )。
A、应用系统集成电路
B、异步系统集成电路
C、专用集成电路
D、全定制集成电路

14、在EDA中,可编程片上系统的英文是( )。
A、SOPC
B、SPOC
C、SOCP
D、POCS

15、EP1K100QC208-3具有多少个管脚( )。
A、208个
B、100个
C、205个
D、不确定

16、下面哪种系列器件是ALTERA公司生产的CPLD器件( )。
A、ACEX1K系列器件
B、MAX9000系列器件
C、FLEX6000系列器件
D、STRATIX系列器件

17、下面哪种系列器件是ALTERA公司生产的FPGA器件( )。
A、APEX20K系列器件
B、MAX3000系列器件
C、Classic系列器件
D、MA73000系列器件

18、对器件EPM7064SL44-5的描述正确的是( )。
A、该器件有64个管脚,速度级别是5ns
B、该器件有44个管脚,速度级别是5ns
C、该器件有64个管脚,速度级别是5us
D、该器件有44个管脚,速度级别是5us

19、下面对CPLD和FPGA的描述中,正确的是( )。
A、CPLD器件内部为SRAM工艺,断电后编程信息立即丢失。
B、FPGA器件为EEPROM或FLAsH工艺,被编程后断电非易失。
C、CPLD器件为分段式互连结构,内部延时与器件结构和逻辑连接等有关,因此传输时延不可预测
D、CPLD器件为连续式互连结构,内部各模块之间具有固定时延的快速互连通道,可预测延时

20、下面对CPLD和FPGA的描述中,错误的是( )。
A、FPGA器件采用查找表LUT结构来实现逻辑功能。
B、CPLD器件采用乘积项PT结构来实现逻辑功能。
C、CPLD器件为分段式互连结构,内部延时与器件结构和逻辑连接等有关,因此传输时延不可预测
D、SOPC器件采用集查找表LUT、乘积项PT和存储于一体的多核结构来实现逻辑功能。

项目1单元作业

1、填空题:EDA的中文含义是 ,英文全拼是 。

2、填空题:PLD的中文含义是 ,英文全拼是 。

3、填空题:FPGA的中文含义是 ,英文全拼是 。

4、填空题:CPLD的中文含义是 ,英文全拼是 。

5、填空题:ASIC的中文含义是 ,英文全拼是 。

6、填空题:HDL的中文含义是 ,英文全拼是 。

7、填空题:LUT的中文含义是 ,英文全拼是 。

8、填空题:ISP的中文含义是 ,英文全拼是 。

9、简答题:简述EDA技术的发展阶段及各阶段的特点

10、简答题:CPLD和FPGA的主要区别

项目2 全加器电路设计

任务2.3 一位全加器的硬件设计随堂测验

1、EP1K100QC208-3具有多少个管脚( )。
A、52个
B、3个
C、208个
D、不确定

2、EPF10K20TC144-X器件,如果X的值越小表示( )。
A、器件的工作频率越小
B、器件的管脚越少
C、器件的延时越小
D、器件的功耗越小

3、下列哪个流程是正确的基于EDA软件的FPGA / CPLD设计流程( )。
A、设计输入→功能仿真→分析综合→编程下载→硬件测试
B、设计输入→分析综合→功能仿真→编程下载→硬件测试
C、设计输入→时序仿真→功能仿真→编程下载→硬件测试
D、原理图输入→功能仿真→适配→编程下载→综合→硬件测试

4、在EDA工具中,能完成在目标系统器件上布局布线软件称为( )。
A、适配器
B、仿真器
C、综合器
D、下载器

5、以下关于适配描述错误的是( )。
A、适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件
B、适配所选定的目标器件可以不属于原综合器指定的目标器件系列
C、适配完成后可以利用适配所产生的仿真文件作精确的时序仿真
D、通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供

6、Quartus II中的FPGA的编程文件的后缀是 。

7、Quartus II中的CPLD的编程文件的后缀是 。

项目2单元测验

1、EP1K100QC208-3具有多少个管脚( )。
A、52个
B、3个
C、208个
D、不确定

2、EPF10K20TC144-X器件,如果X的值越小表示( )。
A、器件的工作频率越小
B、器件的管脚越少
C、器件的延时越小
D、器件的功耗越小

3、Quartus II的设计文件不能直接保存在( )。
A、硬盘
B、根目录
C、文件夹
D、工程目录

4、Quartus II是哪个公司的软件( )。
A、ALTERA
B、ATMEL
C、LATTICE
D、XILINX

5、Quartus II不支持的输入方式是( )。
A、文本输入
B、原理图输入
C、波形输入
D、矢量输入

6、Quartus II中原理图的后缀是( )。
A、vwf
B、bsf
C、bdf
D、qpf

7、下面对原理图输入设计方法的描述中,不正确的说法是( )。
A、原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计
B、原理图输入设计方法一般是一种自底向上的设计方法
C、原理图输入设计方法无法对电路进行功能描述
D、原理图输入设计方法也可进行层次化设计

8、在执行Quartus II的( )命令,可以精确分析设计电路输入与输出波形间的延时量。
A、reate default symbol
B、Simulator
C、Compiler
D、Timing Analyzer

9、下面哪一条命令是Quartus II在时序仿真时执行加载节点的命令?( )
A、file/set project to current file
B、assign/pin/location chip
C、node/enter node from SNF
D、file/create default symbol

10、在EDA工具中,能将硬件描述语言转换为硬件电路的工具软件称为( )。
A、仿真器
B、综合器
C、适配器
D、下载器

11、下面哪一条命令是Quartus II软件中引脚锁定的命令( )。
A、file/set project to current file
B、node/enter node from SNF
C、assign/pin/location chip
D、file/create default symbol

12、执行Quartus II的( )命令,可以对设计的电路进行仿真。
A、Creat Default Symbol
B、Compiler
C、Simulator
D、Programmer

13、在Quartus II集成环境下为图形文件产生一个元件符号的主要作用是( )。
A、综合
B、编译
C、仿真
D、被高层次电路设计调用

14、在Quartus II工具软件中,完成网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为( )。
A、编辑
B、编译
C、综合
D、编程

15、综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,( )是错误的。
A、综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件
B、为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束
C、综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的
D、综合是纯软件的转换过程,与器件硬件结构无关

16、执行Quartus II的( )命令,可以为设计电路建立一个元件符号。
A、creatr default symbol
B、simulator
C、compiler
D、timing analyzer

17、在EDA工具中,能完成在目标系统器件上布局布线软件称为( )
A、仿真器
B、综合器
C、适配器
D、下载器

18、以下关于适配描述错误的是( )
A、适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件
B、适配所选定的目标器件可以不属于原综合器指定的目标器件系列
C、适配完成后可以利用适配所产生的仿真文件作精确的时序仿真
D、通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供

19、Quartus II中的FPGA的编程文件的后缀是( )。
A、sof
B、bsf
C、pof
D、vhd

20、Quartus II中的模块符号的后缀是( )
A、qpf
B、bsf
C、pof
D、bdf

21、下列哪个流程是正确的基于EDA软件的FPGA / CPLD设计流程( )
A、设计输入→功能仿真→分析综合→编程下载→硬件测试;
B、设计输入→分析综合→功能仿真→编程下载→硬件测试
C、设计输入→时序仿真→功能仿真→编程下载→硬件测试;
D、原理图输入→功能仿真→适配→编程下载→综合→硬件测试

22、Quartus II中的工程文件的后缀是( )
A、vwf
B、bsf
C、bdf
D、qpf

23、Quartus II中的CPLD的编程文件的后缀是( )
A、sof
B、bsf
C、pof
D、vhd

24、Quartus II中的引脚文件的后缀是( )
A、qpf
B、bsf
C、pin
D、pof

25、Quartus II中矢量波形文件的后缀是( )
A、vwf
B、bsf
C、bdf
D、qpf

项目2单元作业

1、简答题:设计原理图文件的输入步骤

2、简答题:设计文件的功能仿真步骤

3、PLD的设计流程包括 、 、 和 四个步骤。

4、QuartusII的常用设计输入有 、 和 。

5、设计仿真分为 和 两种模式。

6、QuartusII软件中的原理图设计文件扩展名为 ,模块电路符号的文件扩展名为 ,输入波形文件扩展名为 ,输出波形文件扩展名为 。

7、CPLD芯片的设计下载目标文件扩展名为 ,FPGA芯片的设计下载目标文件扩展名为 。

8、用层次原理图设计完成四位全减器的图形设计文件、设计编译和功能仿真,并进行管脚配置,用图片形式提交设计结果。

项目3 三人多数表决器电路的VHDL设计

项目3单元测验

1、一个项目的输入输出端口是定义在( )。
A、实体中
B、结构体中
C、任何位置
D、进程中

2、描述项目具有逻辑功能的是( )。
A、实体
B、结构体
C、配置
D、进程

3、关键字ARCHITECTURE定义的是( )。
A、结构体
B、进程
C、实体
D、配置

4、MAXPLUSII中编译VHDL源程序时要求( )。
A、文件名和实体可不同名
B、文件名和实体名无关
C、文件名和实体名要相同
D、不确定

5、1987标准的VHDL语言对大小写是( )。
A、敏感的
B、只能用小写
C、只能用大写
D、不敏感

6、VHDL语言中信号定义的位置是( )。
A、实体中任何位置
B、实体中特定位置
C、结构体中任何位置
D、结构体中特定位置

7、在EDA中,IP的中文含义是( )。
A、STD_LOGIC
B、STD_LOGIC_VECTOR
C、BIT
D、前面三个答案都是错误的

8、下面哪一个是VHDL中的波形编辑文件的后缀名( )。
A、gdf
B、scf
C、sys
D、tdf

9、一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为( )。
A、设计输入
B、设计输出
C、设计实体
D、设计结构

10、在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句。
A、并行和顺序
B、顺序
C、并行
D、不存在的

11、VHDL文本编辑中编译时出现如下的报错信息 Error: Can’t open VHDL “WORK”, 其错误原因是( )。
A、错将设计文件的后缀写成.tdf,而非.vhd
B、错将设计文件存入了根目录,并将其设定成工程
C、设计文件的文件名与实体名不一致
D、程序中缺少关键词

12、关于VHDL中的数字,请找出以下数字中数值最小的一个是( )。
A、2#1111_1110#
B、8#276#
C、10#170#
D、16#E#E1

13、VHDL语言是一种结构化设计语言;一个设计实体包括实体与结构体两部分,结构体描述( )。
A、器件外部特性
B、器件的内部功能
C、器件的综合约束
D、器件外部特性与内部功能

14、VHDL文本编辑中编译时出现如下的报错信息 Error:Line1,File e:\muxfile\mux21.tdf: TDF syntax error… ,其错误原因是( )。
A、错将设计文件的后缀写成.tdf,而非.vhd
B、错将设计文件存入了根目录,并将其设定成工程
C、设计文件的文件名与实体名不一致
D、程序中缺少关键词

15、下面哪一个可以用作VHDL中的合法的实体名( )。
A、OR
B、VARIABLE
C、SIGNAL
D、OUT1

16、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的( )。
A、idata <= “00001111”
B、idata <= b”0000_1111”
C、idata <= X”AB”
D、idata <= B”21”

17、如果a=1,b=1,则逻辑表达式(a XOR b) OR( NOT b AND a)的值是( )。
A、0
B、1
C、2
D、不确定

18、VHDL文本编辑中编译时出现如下的报错信息 Error:VHDL Design File “mux21” must contain an entity of the same name,其错误原因是( )。
A、错将设计文件的后缀写成.tdf 而非
B、错将设计文件存入了根目录,并将其设定成工程
C、设计文件的文件名与实体名不一致
D、程序中缺少关键词

19、VHDL运算符优先级的说法正确的是( )。
A、括号不能改变优先级
B、不能使用括号
C、括号的优先级最低
D、括号可以改变优先级

20、VHDL文本编辑中编译时出现如下的报错信息 Error: VHDL syntax error: choice value length must match selector expression value length 其错误原因是( )。
A、表达式宽度不匹配
B、错将设计文件存入了根目录,并将其设定成工程
C、设计文件的文件名与实体名不一致
D、程序中缺少关键词

项目4 简易8路抢答器电路设计

项目4单元测验

1、VHDL语言中变量定义的位置是( )。
A、实体中任何位置
B、进程中任何位置
C、实体中特定位置
D、进程中特定位置

2、VHDL语言中信号定义的位置是( )。
A、实体中任何位置
B、实体中特定位置
C、结构体中任何位置
D、结构体中特定位置

3、进程中的变量赋值语句,其变量更新是( )。
A、立即完成
B、按顺序完成
C、在进程的最后完成
D、都不对

4、变量和信号的描述正确的是( )。
A、变量赋值号是:=
B、信号赋值号是:=
C、变量赋值号是<=
D、二者没有区别

5、变量和信号的描述正确的是( )。
A、变量可以带出进程
B、信号可以带出进程
C、信号不能带出进程
D、二者没有区别

6、正确给变量X赋值的语句是( )。
A、X<=A+B
B、X:=A+b
C、X=A+B
D、前面的都不正确

7、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是 ( )。
A、PROCESS为一无限循环语句,敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动
B、敏感信号参数表中,应列出进程中使用的所有输入信号
C、进程由说明部分、结构体部分、和敏感信号参数表三部分组成
D、当前进程中声明的信号也可用于其他进程

8、在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当于( )作用。
A、IF
B、THEN
C、AND
D、OR

9、下列关于变量的说法正确的是( )。
A、变量是一个局部量,它只能在进程和子程序中使用
B、变量的赋值不是立即发生的,它需要有一个δ延时
C、在进程的敏感信号表中,既可以使用信号,也可以使用变量
D、变量赋值的一般表达式为:目标变量名<= 表达式

10、下列关于CASE语句的说法不正确的是( )。
A、条件句中的选择值或标识符所代表的值必须在表达式的取值范围内
B、CASE语句中必须要有WHEN OTHERS=>NULL语句
C、CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现
D、CASE语句执行必须选中,且只能选中所列条件语句中的一条

11、在VHDL中,IF语句中至少应有1个条件句,条件句必须由( ) 表达式构成
A、BIT
B、STD_LOGIC
C、BOOLEAN
D、INTEGER

12、VHDL中,为目标变量赋值符号是( )。
A、=:
B、=
C、<=
D、:=

13、在VHDL中,PROCESS结构内部是由( )语句组成的。
A、顺序
B、顺序和并行
C、并行
D、任何

14、在VHDL中( )不能将信息带出对它定义的当前设计单元。
A、信号
B、常量
C、数据
D、变量

15、嵌套使用IF语句,其综合结果可实现( )。
A、带优先级且条件相与的逻辑电路
B、条件相或的逻辑电路
C、三态控制电路
D、双向控制电路

16、以下对于进程PROCESS的说法,正确的是( )。
A、进程之间可以通过变量进行通信
B、进程内部由一组并行语句来描述进程功能
C、进程语句本身是并行语句
D、一个进程可以同时描述多个时钟信号的同步时序逻辑

17、对于信号和变量的说法,哪一个是不正确的( )。
A、信号用于作为进程中局部数据存储单元
B、变量的赋值是立即完成的
C、信号在整个结构体内的任何地方都能适用
D、变量和信号的赋值符号不一样

18、下列关于信号的说法不正确的是( )。
A、信号相当于器件内部的一个数据暂存节点
B、信号的端口模式不必定义,它的数据既可以流进,也可以流出
C、在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用
D、信号在整个结构体内的任何地方都能适用

19、VHDL中顺序语句放置位置说法正确的是( )。
A、可以放在进程语句中
B、可以放在选择信号赋值语句中
C、可以放在任意位置
D、前面的说法都不正确

20、在VHDL中,PROCESS本身是( )语句。
A、顺序
B、顺序和并行
C、并行
D、任何

项目5 计时器电路设计

项目5单元测验

1、能反馈输出信号至内部的端口模式是( )
A、IN
B、OUT
C、BUFFER
D、INOUT

2、下列语句中,不属于并行语句的是( )
A、进程语句
B、CASE语句
C、元件例化语句
D、WHEN…ELSE…语句

3、下面( )是循环移位寄存器的部分程序 dout(4 DOWNTO 1)<=dout(3 DOWNTO 0); _______<=dout(4);
A、dout(1)
B、dout(3)
C、dout(0)
D、dout(2)

4、STD_LOGIC_1164程序包的正确声明方法是( )
A、USE STD_LOGIC_1164
B、USE IEEE.STD_LOGIC_1164
C、USE IEEE.STD_LOGIC_1164.ALL
D、USE STD_LOGIC_1164.ALL

5、在元件例化语句中,用( )符号实现名称映射
A、=
B、:=
C、<=
D、=>

6、关于BLOCK结构和PROCESS结构的描述,正确的是( )
A、BLOCK结构内是并行描述语句,PROCESS结构内顺序描述语句
B、结构体中是否使用BLOCK结构语句,结构体的功能不是一样的
C、结构体中是否使用PROCESS结构语句,结构体的功能是一样的
D、BLOCK结构和PROCESS结构,都需要有敏感量信号来启动

7、SIGNAL a,b:BIT; SIGNAL y:BIT_VECTOR(1 DOWNTO 0); 下面正确的表达式是( )
A、y<=a
B、y<=b
C、y<=b and a
D、y<=b&a

8、下列元件例化中,哪种描述属于名字关联( )
A、U1:mn PORT MAP(C=>F,A=>D,B=>E);
B、U2:mn PORT MAP(D,E,F);
C、U3:mn PORT MAP(D,E,C=>F);
D、U4:mn PORT MAP(mn1,mn2,mn3);

9、在自顶向下设计过程中,描述器件总功能的模块一般称为( )
A、底层设计
B、顶层设计
C、局部设计
D、部分设计

10、对元件例化的关联方式的描述,错误的是( )
A、文件关联
B、混合关联
C、名字关联
D、位置关联

项目6 交通灯控制器电路设计

项目6单元测验

1、Moore型状态机其输出是当前状态和所有输入的函数

2、Moore型状态机其输出是当前状态的函数

3、与Mealy型状态机相比,Moore型的输出变化要滞后一个时钟周期

4、Mealy型状态机能有效消除竞争冒险,使输出序列更稳定

5、Mealy型状态机可能存在竞争冒险且不能消除

6、Moore型状态机比Mealy型响应速度快

7、Mealy型状态机的电路结构相对复杂

8、Mealy型状态机比Moore型的使用的触发器更少

9、有限状态机划的三进程描述是指划分为状态寄存器、现态逻辑和输出逻辑三个进程

10、有限状态机划的二进程描述是指划分为时序逻辑和组合逻辑二个进程

2020-2021学年第一学期《EDA技术》课程期末考试

《EDA技术》单项选择题

1、EDA的中文含义是( )。
A、工程设计自动化
B、电子设计自动化
C、电气设计自动化
D、电子设计应用

2、在EDA中,IP的中文含义是( )。
A、网络供应商
B、网际互连协议
C、没有特定意义
D、知识产权核

3、在EDA中,ASIC的中文含义是( )。
A、应用系统集成电路
B、专用集成电路
C、异步系统集成电路
D、全定制集成电路

4、大规模可编程器件CPLD 通过( )实现其逻辑功能。
A、乘积项
B、查找表
C、输入缓冲
D、输出缓冲

5、现场可编程门阵列的英文简称是( )。
A、FPGA
B、PLA
C、PAL
D、PLD

6、Quartus II是哪个公司的软件( )。
A、ALTERA
B、ATMEL
C、LATTICE
D、XILINX

7、下列哪个流程是正确的基于EDA软件的FPGA / CPLD设计流程( )。
A、设计输入→分析综合→功能仿真→编程下载→硬件测试
B、设计输入→功能仿真→分析综合→编程下载→硬件测试;
C、设计输入→功能仿真→分析综合→编程下载→硬件测试;
D、原理图输入→功能仿真→适配→编程下载→综合→硬件测试

8、在Quartus II集成环境下为图形文件产生一个元件符号的主要作用是( )。
A、综合
B、编译
C、仿真
D、被高层次电路设计调用

9、下列标识符中,( )是不合法的标识符。
A、PP0
B、END
C、Not_Ack
D、sig

10、一个项目的输入输出端口是定义在( )
A、实体中
B、结构体中
C、任何位置
D、进程体

11、描述项目的设计逻辑功能的是( )
A、实体
B、结构体
C、配置
D、进程

12、使用STD_LOGIG_1164使用的数据类型时( )
A、可以直接调用
B、必须在库和包集合中声明
C、必须在实体中声明
D、必须在结构体中声明

13、在一个VHDL设计中idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的( )
A、idata <= “00001111”
B、idata <= b”0000_1111”
C、idata <= X”AB”
D、idata <= B”21”

14、VHDL运算符优先级的说法正确的是( )
A、逻辑运算的优先级最高
B、关系运算的优先级最高
C、逻辑运算的优先级最低
D、关系运算的优先级最低

15、一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序称为( )。
A、设计输出
B、设计输入
C、设计实体
D、设计结构

16、在VHDL中,条件信号赋值语句WHEN_ELSE语句属于( )语句。
A、顺序
B、并行和顺序
C、并行
D、不存在的

17、VHDL语言中信号定义的位置是( )
A、实体中任何位置
B、实体中特定位置
C、结构体中任何位置
D、结构体中特定位置

18、VHDL文本编辑中编译时出现如下的报错信息:Error: VHDL syntax error: signal declaration must have ‘;’,but found begin instead. 其错误原因是( )
A、错将设计文件存入了根目录,并将其设定成工程
B、信号声明缺少分号
C、设计文件的文件名与实体名不一致
D、程序中缺少关键词

19、VHDL语言中信号定义的位置是( )
A、实体中任何位置
B、实体中特定位置
C、结构体中任何位置
D、结构体中特定位置

20、VHDL中顺序语句放置位置说法正确的是( )
A、可以放在进程语句中
B、可以放在选择信号赋值语句中
C、可以放在任意位置
D、前面的说法都不正确

21、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是( )
A、当前进程中声明的信号也可用于其他进程
B、PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动
C、敏感信号参数表中,应列出进程中使用的所有输入信号
D、进程由说明部分、结构体部分、和敏感信号参数表三部分组成

22、正确给变量X赋值的语句是( )
A、X<=A+B
B、X:=A+b
C、X=A+B
D、前面的都不正确

23、在VHDL中,可以用语句( )表示检测clock下降沿。
A、clock’ event
B、clock’ event and clock=’1’
C、clock=’0’
D、clock’ event and clock=’0’

24、对于信号和变量的说法,哪一个是不正确的( )
A、信号用于作为进程中局部数据存储单元
B、变量的赋值是立即完成的
C、信号在整个结构体内的任何地方都能适用
D、变量和信号的赋值符号不一样

25、能反馈输出信号至内部的端口模式是( )
A、IN
B、OUT
C、BUFFER
D、INOUT

26、下面( )是循环移位寄存器的部分程序: dout(4 DOWNTO 1)<=dout(3 DOWNTO 0); ( )<=dout(4);
A、dout(1)
B、dout(3)
C、dout(0)
D、dout(2)

27、STD_LOGIC_1164程序包的正确声明方法是( )
A、USE STD_LOGIC_1164
B、USE IEEE.STD_LOGIC_1164
C、USE IEEE.STD_LOGIC_1164.ALL
D、USE STD_LOGIC_1164.ALL

28、在元件例化语句中,用( )符号实现名称映射
A、=
B、:=
C、<=
D、=>

29、关于BLOCK结构和PROCESS结构的描述,正确的是( )
A、BLOCK结构内是并行描述语句,PROCESS结构内顺序描述语句
B、结构体中是否使用BLOCK结构语句,结构体的功能不是一样的
C、结构体中是否使用PROCESS结构语句,结构体的功能是一样的
D、BLOCK结构和PROCESS结构,都需要有敏感量信号来启动

30、SIGNAL a,b:BIT;SIGNAL y:BIT_VECTOR(1 DOWNTO 0);下面正确的表达式是( )
A、y<=a
B、y<=b
C、y<=b and a
D、y<=b&a

31、下列关于CASE语句的说法不正确的是( )
A、CASE语句执行必须选中,且只能选中所列条件语句中的一条
B、条件句中的选择值或标识符所代表的值必须在表达式的取值范围内
C、CASE语句中必须要有WHEN OTHERS=>NULL;语句
D、CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现

32、下面对变量和信号的描述正确的是( )
A、变量可以带出进程
B、信号可以带出进程
C、信号不能带出进程
D、二者没有区别

33、在VHDL中,PROCESS结构内部是由( )语句组成的。
A、顺序
B、顺序和并行
C、任何
D、并行

34、在自顶向下设计过程中,描述器件总功能的模块一般称为( )
A、底层设计
B、顶层设计
C、部分设计
D、局部设计

35、关于VHDL数据类型,正确的是( )
A、用户不能定义子类型
B、用户可以定义子类型
C、用户可以定义任何类型的数据
D、前面三个答案都是错误的

36、在状态机的具体实现时,CPLD器件应选用状态机的编码为( )
A、顺序编码
B、一位热码
C、并行编码
D、二位热码

37、关于BLOCK结构和PROCESS结构的描述,正确的是( )
A、BLOCK结构内是并行描述语句,PROCESS结构内顺序描述语句
B、结构体中是否使用BLOCK结构语句,结构体的功能不是一样的
C、结构体中是否使用PROCESS结构语句,结构体的功能是一样的
D、BLOCK结构和PROCESS结构,都需要有敏感量信号来启动

38、能反馈输出信号至内部的端口模式是( )
A、IN
B、OUT
C、BUFFER
D、INOUT

39、变量和信号的描述正确的是( )
A、变量赋值号是:=
B、信号赋值号是:=
C、变量赋值号是<=
D、二者没有区别

40、下列语句中,不属于并行语句的是
A、进程语句
B、CASE语句
C、元件例化语句
D、WHEN…WLSE..语句

《EDA技术》设计分析题

1、设计一个产品质量检验电路:某产品有A、B、C、D四项质量指标,其中A为主要指标。产品检验标准规定:当主要指标和两项次要指标都合格时,产品定位合格,否则为废品。要求: (1)进行逻辑功能分析(列出真值表、写出逻辑表达式)(20分); (2)完成产品质量检验电路设计(20分); (3)对设计的产品质量检验电路进行功能仿真(10分)。

学习通EDA技术_8

EDA技术是现代电子设计领域中不可或缺的一环,它在电路设计的各个阶段都有着重要的作用。本篇文章将针对学习通EDA技术_8进行详细的介绍,希望能帮助读者更好地掌握EDA技术。

一、EDA技术介绍

EDA(Electronic Design Automation)技术是指在电子设计过程中使用计算机等设备辅助实现电路设计、电路仿真、电路布局布线和电路测试等流程。EDA技术已经成为电子设计领域中的重要工具,它可以高效地提高设计效率、降低设计成本、提高设计质量。

二、学习通EDA技术_8

学习通EDA技术_8是一门集电路仿真、电路布局布线和电路测试于一体的综合课程。本门课程主要围绕Altium Designer这一EDA工具进行教学,其中包括以下内容:

  • 1.电路仿真:从理论到实践,学习各种常见的电路仿真方法,如SPICE仿真、Signal Integrity仿真等。
  • 2.电路布局布线:学习如何进行电路布局设计和布线设计,掌握常用的设计规范和布线技巧。
  • 3.电路测试:学习常见电路测试方法,如电路可靠性测试、电磁兼容性测试等。

三、学习通EDA技术_8的重要性

学习通EDA技术_8的重要性在于,它可以帮助读者了解电子设计的各个环节,并且通过实际的操作来掌握EDA工具的使用方法,从而提高设计效率、降低设计成本、提高设计质量。同时,学习通EDA技术_8也是学习电子设计领域中不可或缺的一门课程。

四、学习通EDA技术_8的学习方法

学习通EDA技术_8的学习方法可以分为以下几个步骤:

  1. 1.系统性地学习所有的课程内容,理解每一个概念和操作方法。
  2. 2.通过实际的操作来巩固所学的知识,熟练掌握EDA工具的使用方法。
  3. 3.在实际的电路设计中运用所学的知识和方法,不断提高电路设计的效率和质量。

五、学习通EDA技术_8的应用场景

学习通EDA技术_8的应用场景非常广泛,它可以用于各种类型的电路设计,包括模拟电路、数字电路、通信电路、嵌入式系统等。此外,EDA技术还可以应用于各种领域,如汽车电子、医疗电子、航空航天电子等。

六、学习通EDA技术_8的发展趋势

随着时代的发展和技术的进步,EDA技术也在不断地发展和完善。目前,EDA技术的发展趋势主要包括以下几个方面:

  1. 1.高性能:EDA工具需要具备高性能的计算能力和仿真能力,可以对电路设计进行快速、准确的仿真和布线。
  2. 2.智能化:EDA工具需要具备智能化的设计能力,可以根据设计需求和设计规范自动生成电路设计方案。
  3. 3.全面性:EDA工具需要具备全面性的功能,可以覆盖电路设计的各个环节,包括仿真、布局布线、测试等。

结语

学习通EDA技术_8是学习电子设计领域中不可或缺的一门课程。通过系统性地学习该课程,我们可以深入了解EDA技术的应用和发展趋势,掌握EDA工具的使用方法,从而提高电路设计的效率和质量。希望读者能够认真学习该课程,不断提升自己的电子设计水平。

学习通EDA技术_8

EDA技术是现代电子设计领域中不可或缺的一环,它在电路设计的各个阶段都有着重要的作用。本篇文章将针对学习通EDA技术_8进行详细的介绍,希望能帮助读者更好地掌握EDA技术。

一、EDA技术介绍

EDA(Electronic Design Automation)技术是指在电子设计过程中使用计算机等设备辅助实现电路设计、电路仿真、电路布局布线和电路测试等流程。EDA技术已经成为电子设计领域中的重要工具,它可以高效地提高设计效率、降低设计成本、提高设计质量。

二、学习通EDA技术_8

学习通EDA技术_8是一门集电路仿真、电路布局布线和电路测试于一体的综合课程。本门课程主要围绕Altium Designer这一EDA工具进行教学,其中包括以下内容:

  • 1.电路仿真:从理论到实践,学习各种常见的电路仿真方法,如SPICE仿真、Signal Integrity仿真等。
  • 2.电路布局布线:学习如何进行电路布局设计和布线设计,掌握常用的设计规范和布线技巧。
  • 3.电路测试:学习常见电路测试方法,如电路可靠性测试、电磁兼容性测试等。

三、学习通EDA技术_8的重要性

学习通EDA技术_8的重要性在于,它可以帮助读者了解电子设计的各个环节,并且通过实际的操作来掌握EDA工具的使用方法,从而提高设计效率、降低设计成本、提高设计质量。同时,学习通EDA技术_8也是学习电子设计领域中不可或缺的一门课程。

四、学习通EDA技术_8的学习方法

学习通EDA技术_8的学习方法可以分为以下几个步骤:

  1. 1.系统性地学习所有的课程内容,理解每一个概念和操作方法。
  2. 2.通过实际的操作来巩固所学的知识,熟练掌握EDA工具的使用方法。
  3. 3.在实际的电路设计中运用所学的知识和方法,不断提高电路设计的效率和质量。

五、学习通EDA技术_8的应用场景

学习通EDA技术_8的应用场景非常广泛,它可以用于各种类型的电路设计,包括模拟电路、数字电路、通信电路、嵌入式系统等。此外,EDA技术还可以应用于各种领域,如汽车电子、医疗电子、航空航天电子等。

六、学习通EDA技术_8的发展趋势

随着时代的发展和技术的进步,EDA技术也在不断地发展和完善。目前,EDA技术的发展趋势主要包括以下几个方面:

  1. 1.高性能:EDA工具需要具备高性能的计算能力和仿真能力,可以对电路设计进行快速、准确的仿真和布线。
  2. 2.智能化:EDA工具需要具备智能化的设计能力,可以根据设计需求和设计规范自动生成电路设计方案。
  3. 3.全面性:EDA工具需要具备全面性的功能,可以覆盖电路设计的各个环节,包括仿真、布局布线、测试等。

结语

学习通EDA技术_8是学习电子设计领域中不可或缺的一门课程。通过系统性地学习该课程,我们可以深入了解EDA技术的应用和发展趋势,掌握EDA工具的使用方法,从而提高电路设计的效率和质量。希望读者能够认真学习该课程,不断提升自己的电子设计水平。