0.1099

五煦查题

快速找到你需要的那道考题与答案

超星EDA技术与Verilog_1期末答案(学习通2023完整答案)

82 min read

超星EDA技术与Verilog_1期末答案(学习通2023完整答案)

EDA技术概述

单元测验-第1章

1、超星用逻辑门描述一个全加器,期末是答案属于那个设计层次:
A、晶体管级
B、学习物理级
C、通完门级
D、整答系统级

2、超星module cnt32 ( input clk,期末 output reg[31:0] q ); always @(posedge clk) q = q + 1'b1; endmodule 上述HDL程序是用什么语言写的?
A、C++
B、答案Java
C、学习Verilog
D、通完VHDL

3、整答ModelSim是超星那种EDA工具:
A、综合器
B、期末下载器
C、答案仿真器
D、适配器

4、Verilog RTL代码经过综合后生成:
A、门级网表
B、二进制指令序列
C、行为及代码
D、PCB

5、EDA发展历程,下列中那个次序是对的?
A、电子CAD→电子CAE→EDA
B、ESDA→电子CAD→电子CAE
C、CPLD→简单PLD→FPGA
D、电子CAE→机械CAD→EDA

6、“接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,因而,仿真精度高。”这句说的是那种仿真:
A、时序仿真
B、功能仿真
C、硬件仿真
D、软件仿真

7、Verilog可以完全完成下列哪些设计层次的描述
A、电子系统级
B、RTL级
C、门级
D、版图级物理级

8、下列哪些是可以借助计算机上的EDA软件来完成的:
A、逻辑化简
B、综合
C、适配
D、自动布局布线
E、焊接
F、设计分割
G、办公自动化

9、常见的HDL语言有:
A、Python
B、Java
C、SystemVerilog
D、Verilog
E、VHDL

10、下列设计流程次序说明中,那些是正确的:
A、设计输入在综合前面
B、适配早于综合
C、仿真在设计输入前面
D、硬件测试在下载后面

11、在FPGA设计流程中,下列哪些是常用EDA工具:
A、设计输入器
B、仿真器
C、综合器
D、下载器(软件端)
E、适配器

12、Quartus具有哪些类型EDA工具的功能:
A、综合器
B、下载器
C、仿真器
D、适配器

13、IP是EDA技术中不可或缺的一部分,下列哪些是常见处理器IP
A、PCIe
B、ARM Cortex-M33
C、MIPS
D、NiosII
E、RISC-V RV32I
F、IEEE 1284

14、SOPC包含:
A、CPU Core
B、Interfaces & Peripherals
C、Memory
D、Software

15、Verilog可以描述门级网表

16、EDA的中文含义是电子设计自动化

17、EDA是英文Electronics Design Automation的缩写

18、HDL是Hardware Description Language的缩写

19、Verilog可以描述门级网表

20、Verilgo程序编写设计流程中的第一步:HDL文本输入

21、整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。

22、EDA工具不是一种软件,而是一个机械工具

23、在EDA技术术语中,IP是Internet Protocol(网际互连协议)的缩写

24、硬IP是HDL源码形式提供的,很容易进行设计修改。

25、HDL语言已经成熟,近十年来,没有出现新的HDL语言

26、C语言综合已经渐渐成为可能,已经出现可以使用的C综合工具

27、Verilog综合的最后输出是x86的二进制机器吗指令序列

28、Verilog语法类似于C语言

29、支持RISC-V处理器RV32I指令集的CPU Verilog源代码可以认为是IP

30、SOC是SYSTEM ON A CHIP的缩写

FPGA与CPLD的结构原理

单元测验-第2章

1、以下哪个可编程器件是基于与阵列可编程或阵列不可编程的原理:
A、PLA
B、FPGA
C、PROM
D、PAL

2、下列对FPGA结构与工作原理的描述错误的是:
A、基于SRAM的FPGA器件,在每次掉电后会丢失里面已经下载的设计;
B、在Intel的器件中,CYCLONE IV系列属FPGA结构;
C、FPGA是基于查找表结构的可编程逻辑器件;
D、FPGA全称为复杂可编程逻辑门器件。

3、以下关于CPLD的描述正确的是:
A、可编程逻辑器件
B、掉电程序会丢失
C、需要使用配置芯片
D、基于查找表

4、下列关于FPGA可编程原理的说法,那个是正确的_ ____。
A、基于LUT结构
B、基于与阵列可编程
C、基于或阵列可编程
D、基于乘积项逻辑可编程

5、MAX3000A主要包括了哪几个主要部分?
A、逻辑阵列块
B、宏单元
C、扩展乘积项
D、可编程连接阵列
E、I/O控制块

6、下面哪些器件属于复杂PLD:
A、PLA
B、FPGA
C、PROM
D、CPLD

7、从结构上看,PLD器件能够分为以下几类结构:
A、基于查找表结构
B、基于与阵列可编程
C、基于或阵列可编程
D、基于乘积项逻辑可编程

8、以下可编程器件原理基于与或阵列的有:
A、PLA
B、FPGA
C、PROM
D、GAL

9、以下关于FPGA的描述正确的是:
A、可编程逻辑器件
B、掉电程序会丢失
C、需要使用配置芯片
D、基于查找表

10、在JTAG边界扫描测试,以下关于边界扫描I/O引脚功能的描述正确的是:
A、TDI测试数据输入
B、TDO测试数据输出
C、TEN测试使能
D、TCK测试时钟输入

11、JTAG接口有哪些功能:
A、软硬件测试
B、编程下载
C、在线逻辑分析
D、仿真

12、FPGA配置方式包括:
A、JTAG
B、PROM
C、PAL
D、PS
E、AS

13、目前大多数CPLD采用了Flash工艺。

14、JTAG是IEEE定义的边界扫描测试规范。

15、基于SRAM的FPGA具有掉电易失性,对该类器件的编程一般称为配置。

16、简单PLD器件都是基于与或阵列。

17、CPLD编程和FPGA配置可以使用专用的编程设备,也可以使用下载电缆。

18、PLD的中文全称是什么?

19、什么是OLMC?

20、CPLD的中文全称是什么?

21、FPGA的中文全称是什么?

组合电路的Verilog设计

单元测验-第3章

1、任一可综合的最基本的模块都必须以什么关键词为开头:
A、assign
B、always
C、module
D、endmodule

2、下列哪个数字最大:
A、4’b1101
B、8’h16
C、8’d18
D、1001

3、Y<=a;是:
A、连续赋值语句
B、阻塞式赋值
C、非阻塞式赋值
D、条件语句

4、module EXAPL(R); parameter S=4; output [2*S:1] R; integer A; reg [2*S:1] R; always@(A) begin R=A; end endmodule R经过A赋值后是多少位的:
A、32
B、16
C、8
D、4

5、output signed [7:0] y; input signed [7:0] a; assign y=(a>>>2); 若a=10101011,则输出y等于:
A、00101010
B、10101100
C、11101010
D、10101111

6、下面哪一个不是标识符:
A、模块名
B、信号名
C、关键词
D、端口名

7、下列哪一个是正确的:
A、(3==5)=1
B、(3’bx10===4’b0x10)=0
C、(4’b0z10!==4’b0z10)=1
D、(8!=9)=0

8、A=4’b1011, B=4’b1000,则下列正确的是:
A、(A>B)=1
B、(A<B)=1
C、(A>15)=1
D、(B<11)=0

9、若底层的模块语句和参数表述为module SUB #(parameter S1=5, parameter S2=8, parameter S3=1) (A,B,C); 在上层的例化语句中的表述为SUB #(.S1(7), .S2(3), .S3(9)) U1(.A(AP), .B(BP), .C(CP)); 则例化后,S2给定的值为:
A、8
B、3
C、9
D、7

10、下面那些是Verilog的关键字
A、input
B、a
C、module
D、y

11、下列哪些是Verilog中的循环语句关键词:
A、for
B、parameter
C、while
D、repeat

12、位置关联法,关联表述的信号位置可以不固定:

13、对于BCD码加法器的设计,如果低位BCD码的和大于等于9,则使和加上6,且有进位:

14、assign引导的连续赋值语句属于并行赋值语句吗

15、在过程语句always@引导的顺序语句中, 被赋值信号不一定是reg型变量

16、下列两项的值是一样的: 4'd9 4'b1001

作业-第3章

1、使用Verilog设计一个8选1选择器

时序电路的Verilog设计

单元测验-第4章

1、时钟上升沿敏感的关键词是:
A、always
B、module
C、posedge
D、negedge

2、含清0控制的锁存器 module LATCH2(CLK,D,Q,RST); output Q; input CLK,D,RST; assign Q=(!RST)? ____:(CLK?D:Q); endmodule 空格处应该填入:
A、CLK
B、D
C、Q
D、0

3、下列哪一个表述是正确:
A、always@(posedge CLK or RST)
B、always@(posedge CLK or negedge RST or A)
C、always@(posedge CLK or D or Q)
D、always@(posedge CLK or negedge RST)

4、module CNT4 (CLK,Q); output [3:0] Q; input CLK; reg [3:0] Q ; always @(posedge ____) Q <= Q+1 ; endmodule
A、CLK
B、output
C、[3:0]
D、Q

5、module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else ____<=REG8[7:1]; assign QB = REG8[0] ; endmodule 空格处应该填入:
A、LOAD
B、DIN
C、QB
D、REG8[6:0]

6、含同步复位控制的D触发器 module DFF2(input CLK, input D, input RST, output reg Q); always@(posedge CLK) Q<=____?0:D; endmodule 空格处应该填入:
A、CLK
B、RST
C、Q
D、D

7、含清0控制的锁存器 module LATCH3(CLK,D,Q,RST); output Q; input CLK,D,RST; ____ Q; always@(D or CLK or RST) if (!RST) Q<=0; else if (CLK) Q<=D; endmodule 空格处应该填入:
A、reg
B、input
C、RST
D、CLK

8、module CNT4(CLK,Q); output [3:0] Q; input CLK; reg ____ Q1 ; always @(posedge CLK) Q1 = Q1+1 ; assign Q = Q1; endmodule 空格处应该填入:
A、reg
B、CLK
C、Q1
D、[3:0]

9、module FDIV0(input CLK, RST,input [3:0] D, output PM, output [3:0] DOUT); reg [3:0] Q1; reg FULL; wire LD; always@(posedge CLK or negedge RST) if (!RST) begin Q1<=0; FULL<=0; end else if (LD) begin Q1<=D; FULL<=1; end else begin Q1<=Q1+1; FULL<=0; end assign LD=(Q1==4'b1111); assign PM=FULL; assign DOUT=Q1; endmodule 该模块实现的功能是:
A、同步加载计数器
B、异步加载计数器
C、同步清零加载计数器
D、异步清零加载计数器

10、下列哪些是正确的:
A、如果将某信号定义为边沿敏感时钟信号,则必须在敏感信号列表中给出对应的表述
B、若将某信号定义为对应于时钟的电平敏感的异步控制信号,在always过程结构中必须明示信号的逻辑行为
C、若将某信号定义为对应于时钟的同步控制信号,则绝不可以以任何形式出现在敏感信号表中
D、敏感信号列表中可以出现混合信号

11、module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else REG8[6:0]<=REG8[7:1]; assign QB = REG8[0] ; endmodule 该程序实现的功能为:
A、右移移位寄存器
B、左移移位寄存器
C、含同步并行预置功能
D、含异步并行预置功能

12、module SHIF4(DIN,CLK,RST,DOUT); input CLK,DIN,RST; output DOUT; reg [3:0] SHFT; always@(posedge CLK or posedge RST) if (RST) SHFT<=4'B0; else begin SHFT<=(SHFT>>1);SHFT[3]<=DIN;end assign DOUT=SHFT[0]; endmodule 该程序实现的功能是:
A、左移移位寄存器
B、右移移位寄存器
C、同步清零
D、异步清零

13、异步复位是指复位信号依赖于时钟信号

14、同步复位是指复位信号独立于时钟信号

15、对于锁存器,当时钟CLK为高电平时,输出Q才随D输入的数据而改变;而当CLK为低电平时将保存其在高电平时锁入的数据。

16、拥有单一主控时钟的时序电路属于异步时序电路

17、对于实用加法计数器,同步加载信号LOAD独立于时钟

18、V>>n是向左移动n位

19、对于同步加载计数器,加载信号LD依赖于时钟信号。

20、对于含清零控制的锁存器,异步清零信号依赖于时钟信号。

21、在always过程语句中,若定义某变量为异步低电平敏感信号,则在if条件语句中应该对敏感信号表中的信号有匹配的表述

22、module fdiv1(CLK,PM,D,DOUT,RST); input CLK, RST; ____ [3:0] D; output PM; output [3:0] DOUT; ____ [3:0] Q1; reg FULL; wire LD; always@(posedge CLK or ____ LD or negedge RST) if (!RST) begin Q1<=0; FULL<=0; end else if (LD) begin Q1<=D; FULL<=1; end else begin Q1<=Q1+1; FULL<=0; end assign ____=(Q1==4'b0000); assign PM=FULL; assign DOUT=Q1; endmodule 空格处应该填入: A. LD B. posedge C. input D. reg (答案中以空格分隔 比如 D C A B)

作业-第4章

1、设计4位BCD十进制计数器 Design a 4-digit BCD decimal counter

2、设计一个可以预置分频器,最大分频系数为100000 Design a preset frequency divider with a maximum frequency dividing coefficient of 100000.

EDA工具应用

单元测验-第5章

1、编译时出现了以下错误提示: Error (10170): Verilog HDL syntax error at dec4_16x.v(5) near text "3"; expecting an identifier 代码中的第5行为“output reg[15:0] 3yn” 这里代码的错误可能是什么?
A、变量类型定义错误
B、赋值方式错误
C、标识符定义不合规范
D、语句结尾漏了“:”

2、编辑矢量波形文件进行仿真时,需要编辑的是
A、所有输入信号
B、所有输出信号
C、全部信号
D、任选部分信号

3、从代码always@(posedge CLK or negedge RST)可以看出:
A、RST是同步信号,高电平有效
B、RST是异步信号,低电平有效
C、RST是同步信号,下降沿有效
D、RST是异步信号,下降沿电平有效

4、编译时出现了以下错误提示: Error (10170): Verilog HDL syntax error at dec4_16x.v(5) near text "3"; expecting an identifier 代码中的第5行为“output reg[15:0] 3yn” 这里代码的错误可能是什么?
A、变量类型定义错误
B、赋值方式错误
C、标识符定义不合规范
D、语句结尾漏了“:”

5、若欲将仿真信号的数据显示格式设置为16进制,应选择属性为:
A、Octal
B、Hexadecimal
C、Unsigned Decimal
D、Fractional

6、错误提示:Error (12007): Top-level design entity "CNT4b" is undefined可能是以下哪种错误;
A、变量类型定义错误
B、变量CNT4b未定义
C、未设置顶层实体
D、顶层实体模块未定义

7、下列代码含义为( ), “input clk /*synthesis chip_pin= “G21””
A、定义变量clk
B、设置时钟变量
C、将时钟信号的引脚锁定到G21
D、同步clk引脚到G21

8、下列代码含义为( ), (*synthesis,keep*) wire i;
A、定义变量i为net类型
B、规定变量i为测试端口,需要保留
C、综合优化变量i
D、为变量i定义引脚锁定

9、在使用LPM定制ROM时调用的数据文件的格式有:
A、verilog
B、mif
C、Hex
D、vhdl

10、QuartusII支持哪些设计输入方式:
A、文本文件
B、原理图文件
C、状态机文件
D、矢量波形文件

11、引脚锁定可以用下列哪些方法实现
A、利用引脚属性定义,在verilog代码中直接表述实现引脚锁定。
B、在quartusII中打开pin planer直接锁定
C、通过tcl脚本
D、通过导入引脚锁定文件

12、下列属于全程编译的处理操作是:
A、输入文件的排错
B、数据网表文件的提取
C、逻辑综合和适配
D、输出仿真结果

13、按照仿真的电路描述级别的不同,HDL仿真器可以完成:
A、门级仿真
B、行为级仿真
C、RTL级仿真
D、系统级仿真

14、下列属于FPGA的编程下载文件的有
A、.sof文件
B、.qpf文件
C、.jic文件
D、.pof文件

15、确定采样深度,需要考虑的有
A、待测信号的采样要求
B、总的信号数量
C、存储器资源
D、待测信号的变化频率

16、在使用LPM定制ROM时调用的数据文件的格式有:
A、verilog
B、mif
C、Hex
D、vhdl

17、下列那种技术是基于JTAG技术构建的( )
A、SignalTap II
B、ModelSim门级仿真
C、Synplify综合器综合技术
D、In-System Source and Probe
E、FPGA在线配置技术
F、In-System Memory Content Editor

18、一个工程中可以包括多个设计文件。

19、一个工程中只能有一个顶层文件,顶层文件不可更改

20、仿真的主要目的是要了解设计结果是否满足原设计的要求。

21、电路设计完成后,为了实现硬件下载,需要完成下列步骤:①安装下载器驱动②引脚锁定③编译④编程下载

22、引脚锁定与具体的目标芯片型号无关。

23、为了使FPGA的下载文件掉电之后不丢失,可以将编程文件烧到FPGA的配置芯片里保存。FPGA器件每次上电时,作为控制器从配置器件EPCS主动发出读取数据信号,从而把EPCS的数据读入FPGA中,实现对FPGA的编程。

24、采用SignalTapII进行电路分析的时候,采样深度越大越好

25、待测信号中的每一个信号的采样深度都是一样的。

26、原理图输入和文本输入不能混合在一起使用。

27、如果想在仿真中了解模块内部的某个信号的变化,可以对该信号定义keep属性。

实验1:模可控计数器设计

单元测验-实验1

1、下列代码实现的功能为: t <= a ~^ k;
A、t=ak
B、t=a同或B
C、t=a异或b
D、t左移ak位

2、根据以下代码,当c=0时,x的值将等于( ), if(c) x = k; else x = 1'bz;
A、高电平
B、低电平
C、高阻
D、未知

作业-实验1报告

1、学习实验1,重复实验过程,然后 1. 提交实验中第1个代码截图(在Quartus/Vivado中) 2. 提交上述代码的仿真截图

Verilog深入

单元测验-第6章

1、always @(A,B) begin M1 <= A ; M2 <= B&M1; Q <= M1|M2; end 当A和B同时从0变为1后,M1,M2与Q分别为多少:
A、0, 0, 0
B、1, 1, 1
C、1, 0, 0
D、1, 1, 0

2、begin Y1 <= #5 A^B; Y2 <= #4 A|B; Y3 <= #8 A&B; end 以上语句共耗时多少个时间单位:
A、5
B、4
C、17
D、8

3、module andd(A,B,Q); output Q ; input A,B; reg Q; always @(A,B ) if (A==0) if (B==0) Q=0; else Q=1; endmodule 其中,else Q=1;与哪句语句对应:
A、always @(A,B )
B、if (A==0)
C、if (B==0) Q=0;
D、endmodule

4、module andd(A,B,Q); output Q; input A,B; reg Q; always @(A,B ) if (A==0) begin if(B==0) Q=0; end else Q=1; endmodule 其中,else Q=1;与哪句语句对应:
A、always @(A,B )
B、if (A==0)
C、if (B==0) Q=0;
D、endmodule

5、对于阻塞式赋值,执行过程分为(1)计算出“驱动表达式”的值;(2)向目标变量进行赋值操作;(3)完成赋值,这三个步骤不是一步完成的。

6、Y1 = A^D; Y2 = #6 A & E | C; 这两句语句的执行过程是,在第一条语句“Y1 = A^D;”被执行后,要延时6个时间单位才能执行第二条语句。

7、不完整的条件语句的描述,是Verilog描述时序电路的途径之一。

8、Verilog默认,else与最近的没有else的if相关联。

9、module triBUS4( IN3,IN2,IN1,IN0,ENA,DOUT); input[3:0] IN3,IN2,IN1,IN0 ; input[1:0] ENA; output[3:0] DOUT; reg[3:0]DOUT; always @(ENA, IN0) if (ENA==2'b00) DOUT=IN0; else DOUT=4'hz; always @(ENA, IN1) if (ENA==2'b01) DOUT=IN1; else DOUT=4'hz; always @(ENA, IN2) if (ENA==2'b10) DOUT=IN2; else DOUT=4'hz; always @(ENA, IN3) if (ENA==2'b11) DOUT=IN3; else DOUT=4'hz; endmodule 该模块实现的是双向端口电路:

10、高阻态Z可以在电路模块中被信号所传递。

11、双向端口在完成输入功能时,可以不使原来呈输出模式的端口呈高阻态。

12、module BI4B(CTRL,DIN,Q,DOUT); input CTRL; input[3:0] DIN; ____ [3:0] Q; output[3:0] DOUT; reg [3:0] DOUT,Q ; always @(Q,DIN,CTRL) if (!____) begin DOUT<=Q; Q<=4'HZ; end else begin ____<=DIN; DOUT<=____; end endmodule 空格处应该填入: A. CTRL B. 4'HZ C. Q D. inout (答案以空格区分, 如 A B C D)

作业-第6章

1、请看RTL图,写出对应的Verilog代码

2、阅读下列程序,回答问题 module abc #( parameter N = 8 ) // N >= 4 ( input clk, input si, input rst, output reg[N-1:0] q ); always @(posedge clk,posedge rst) if(rst) q <= 0; else q = { q[N-2:0],si}; endmodule 问题1: rst是同步复位还是异步复位? 问题2:上述程序中描述了多个寄存器? 问题3:描述的是什么功能(在10个字内回答) 问题4:在例化(实例化)abc这个元件时候,能否改变参数N?

3、下面是32位乘加器(乘法加法器),请将以下程序片段的序号填入空格。 A. Y B. input [31:0] C. OV D. output reg E. * module mac( __________A, input [31:0] B, input [31:0] C, output reg [31:0] ___, _________ OV // 乘加溢出 ); always @* { ___,Y} = A ____ B + C; endmodule

实验2:正弦波信号发生器

单元测验-实验2

1、将256个正弦信号数据写入rom模块后,应设计一个几位的二进制计数器,来实现存储器的寻址?
A、7
B、8
C、9
D、256

作业-实验2报告

1、学习“实验2:正弦波信号发生器(1)”重复实验过程 1. 提交代码截图(在Quartus/Vivado中) 2. 提交TestBench 3. 提交仿真截图

Verilog仿真与TestBench

单元测验-第7章

1、reg a, b, c ; a = 0 ; b = 1 ; c = 0; $write ("The value of b is: %b", b) ; $display("The value of a is: %b", a) ; $write ("The value of c is: %b", c) ; 该程序块的输出结果是____。
A、The value of b is: 1 The value of a is: 0 The value of c is: 0
B、The value of b is: 1 The value of a is: 0 The value of c is: 0
C、The value of b is: 1 The value of a is: 0 The value of c is: 0
D、The value of b is: 1 The value of a is: 0 The value of c is: 0

2、assign #(5,3,7) w_or = |bus;如果该表达式右侧结果为0,则延迟为____。
A、5
B、3
C、7
D、0

3、module initial_fork_join(); reg clk, reset, enable, data; initial fork #1 clk = 0; #10 reset = 0; #5 enable = 0; #3 data = 0; join endmodule 以上程序执行完成共需要____个时间单位。
A、3
B、5
C、10
D、19

4、以下哪些属于HDL系统设计描述层次:
A、系统级
B、行为级
C、RLT级
D、门级

5、以下属于Verilog Test Bench主要功能的是:
A、例化待验证的模块实体。
B、通过Verilog 程序的行为描述,为待测模块实体提供激励信号。
C、收集待测模块实体的输出结果,必要时将该结果与预置的所期望的理想结果进行比较,并给出报告。
D、根据比较结果自动判断模块的内部功能结构是否正确。

6、ModelSim可以帮助Quartus II 完成哪些层次的HDL仿真:
A、系统级或行为级仿真
B、RTL级仿真
C、综合后门级仿真
D、适配后门级仿真

7、以下用于显示类的系统函数包括:
A、$display
B、$write
C、$strobe
D、$monitor

8、基于initial语句产生普通时钟信号, parameter clk_period = 10; reg clk; initial begin clk = 0; ________________; end
A、always #(clk_period/2) clk = ~clk
B、forever #(clk_period/2) clk = ~clk
C、always #(clk_period) clk = ~clk
D、forever #(clk_period) clk = ~clk

9、考虑电路时延特性的Verilog仿真属于功能仿真。

10、经过编译生成仿真数据库的Verilog仿真器属于编译后执行方式。

11、Verilog Test Bench可以使用不可综合的Verilog语句进行描述

12、ModelSim使用编译后的HDL库进行仿真,因此属于编译型仿真器。

13、#150 $finish(2) ;该语句表示经过150个时间单位延迟后终止仿真,并输出2。

14、$display("\\\t%%\n\"\1");该语句的输出结果是: \ % "1

15、#10 in = 1;表示10个时间单位后将in赋值为1。

16、#10 r = 1'b1; 和 r = #10 1'b1; 两语句延迟效果相同。

17、assign #(1,3) b = ~a;如果该语句右侧结果为未知(x)或高阻态(z),则延迟为3。

18、仿真激励信号的产生,可以通过Verilog编写或仿真器波形设置命令实现。

19、force a 0 0, 10 1;该语句表示在10时刻强制信号a为1。

实验3:VGA显示控制电路设计

单元测验-实验3

1、根据以下仿真波形的结果,判断电路的逻辑功能可能为
A、加法计数器
B、减法计数器
C、移位寄存器
D、四分频器

2、VGA的行同步信号HSync可以采用什么方法生成?
A、多路选择器
B、译码器
C、计数器
D、编码器

3、设计一个VGA控制器,在VGA屏幕上显示一个学校的Logo图标,那么这个图标的显示数据可以放在那种元件中
A、LPM_ROM
B、双端口RAM
C、计数器
D、译码器

4、可以使用相同的VGA显示控制电路驱动显示不同分辨率和刷新率的图像。

5、如果需要生成的VGA分辨率是800x600@60Hz,那么行计数器是从0计数到799

作业-实验3报告

1、学习“实验3:VGA显示控制电路设计(1)”重复实验过程 1. 提交代码截图(在Quartus/Vivado中) 2. 提交TestBench 3. 提交仿真截图

有限状态机设计技术

单元测验-第8章

1、下列编码方式为一位热编码的是:
A、0000—0001—0010—0011
B、0001—0010—0100—1000
C、0000—1000—1100—1110
D、以上答案都正确

2、下列Moore型状态机采用Verilog语言说明部分正确的是:
A、parameter [2:0] s0=0, s1=1,s2=2,s3=3,s4=4; reg [2:0] current_state, next_state;
B、parameter [1:0] s0=0, s1=1,s2=2,s3=3,s4=4; reg [1:0] current_state, next_state;
C、TYPE FSM_ST IS (s0, s1,s2,s3,s4); SIGNAL current_state, next_state: FSM_ST;
D、typedef enum { s0, s1,s2,s3,s4} type_user; type_user current_state, next_state

3、在Verilog中定义了宏名?`define?sum?a+b+c?下面宏名引用正确的是(??)
A、out=’sum+d
B、out=sum+d
C、out=`sum+d
D、都正确

4、定义状态机当前状态为state ,次态为next _state; 输入a,输出b, 则下列为Mealy状态机的写法是:
A、always@(posedge clk) case (state ) 0:next_state<=1; 1:next_state<=x;
B、always@(posedge clk) case (state ) 0: if(a==0)next_state<=1; else next_state<=x; 1:next_state<=x;
C、always@(posedge clk) case (state ) 0: if(state==0)next_state<=1; else next_state<=x; 1:next_state<=x;
D、以上都不对

5、下列编码方式中采用顺序编码的是
A、0000—0001—0010—0011
B、0001—0010—0100—1000
C、0000—1000—1100—1110
D、0000—1100—1000—1110

6、下列Moore型状态机采用Verilog语言主控时序部分正确的是:
A、always@(posedge clk or negedge reset) begin if(!reset) current_state<=s0; else current_state<=next_state; end
B、always@(posedge clk ) begin if(!reset) current_state<=s0; else current_state<=next_state; end
C、always@(posedge clk t) if(reset) current_state<=s0; else current_state<=next_state;
D、always@(posedge clk or negedge reset) if(reset) current_state<=s0; else current_state<=next_state;

7、以下哪些内容是有限状态机的性能优势:
A、高效的顺序控制模型
B、容易利用现成的EDA工具进行优化
C、性能高速、稳定
D、高可靠性能

8、关于AD0809时序电平描述正确的是()
A、START 为转换启动控制信号,高电平有效
B、ALE为模拟信号输入选通端口地址锁存信号,上升沿有效
C、START 有效以后,状态信号EOC变为低电平
D、转换结束后,EOC转为高电平

9、状态机编码的方式包括:
A、直接输出型编码
B、用宏定义语句定义状态编码
C、顺序编码
D、一位热码编码

10、AD0809状态机程序中COM组合过程主要实现的两个功能是:
A、时序控制功能
B、状态译码功能
C、采样控制功能
D、输出锁存功能

11、安全状态机的设计方式包括:
A、状态导引法
B、状态编码监测法
C、借助EDA工具生成安全状态机
D、硬件方式生成安全状态机

12、下列状态机运行过程中的说明,那些是正确的:
A、状态机运行过程中受多个时钟控制
B、状态机可以仿同步完成多条运算和控制操作
C、状态机的状态数通常是有限的
D、状态机能够构成性能良好的同步时序逻辑模块

13、下列关于状态机的主要结构组成说明正确的是:
A、状态机说明部分,包含状态机转换变量的定义和所有可能的状态说明
B、主控时序过程,主要是负责状态机运转和在时钟驱动下负责状态转换的过程
C、主控组合过程,根据外部输入信号确定对外输出或对内部其他组合和时许过程输出进行控制
D、辅助过程,用于配合状态机工作的过程

14、下列序列检测器实现检测序列为“11101000”, 其verilog程序描述正确的是()。
A、说明部分程序 module sequ_detect( //检测序列11101000 input clk, input reset_n, input data_in, output check_flag ); localparam s0 = 0, s1= 1, s2 = 2, s3 = 3, s4 = 4, s5 = 5, s6 = 6, s7 = 7, s8 = 8; reg [3:0] c_st,next_st;
B、主控时序过程程序 always @(posedge clk,negedge reset_n) if(!reset_n) c_st <= 0; else c_st <= next_st;
C、主控组合过程程序 always @* case(c_st) s0 : if(data_in==1) next_st = s1; else next_st = s0; s1 : if(data_in==1) next_st = s2; else next_st = s0; s2 : if(data_in==1) next_st = s3; else next_st = s0; s3 : if(data_in==0) next_st = s4; else next_st = s3; s4 : if(data_in==1) next_st = s5; else next_st = s0; s5 : if(data_in==0) next_st = s6; else next_st = s2; s6 : if(data_in==0) next_st = s7; else next_st = s1; s7 : if(data_in==0) next_st = s8; else next_st = s1; s8 : if(data_in==0) next_st = s0; else next_st = s1; default : next_st = s0; endcase
D、辅助过程程序 assign check_flag = (c_st == s8) ; endmodule

15、AD0809采样结束后通过LOCK向锁存器LATCH发出锁存信号,将输出8位信号锁存起来。

16、下面程序是否能够实现Mealy型状态机输出功能? always @(PST or DIN2) begin: COM case (PST) ST0: if (DIN2==1b`1) Q=5`H10; else Q=5`H0A ...

17、`define s A+B+C+D assign BB=E+AA BB值为E+A+B+C+D

18、CPU和状态机都是按照时钟节拍以顺序时钟方式工作的,CPU按照指令周期,以逐条执行指令的方式运行,状态机变换只有一个周期。

19、Moore型状态机输出是在输入发生变化后立即发生的。

20、ADC 0809状态转换信号EOC为低电平表示进入转换状态,为高电平表示转换结束

21、序列检测器工作过程中,要求检测器记住前一次的正确码及正确序列,直到连续检测中所收到的每一位码与预置数的对应码相同。

22、Mealy 状态机是时序逻辑输出取决于当前状态和输入信号,此时,其输出表达式为输出信号 = G(当前状态,输入信号)。

23、一位热编码是用n位寄存器来实现具有n个状态的状态机,状态机中的每个状态都是由其中一个触发器的状态来表示,即处于该状态时,对应的触发器为1,其余的触发器为0。

24、状态机设计过程中,无论使用枚举数据类型还是指定状态编码的程序中,不可避免的出现大量剩余状态,对于这些剩余状态不需要处理。

25、状态机从信号输出方式上分包括有Moore型状态机和Mealy型状态机。

26、Moore型状态机的输出是当前状态和所有输入信号的函数,不依赖时钟同步。

27、Moore型状态机和Mealy型状态机两者之间不能进行功能转换。

28、有限状态机设计中,只需要满足功能特性和速度等基本指标,不需要考虑安全性和稳定性。

29、下面这段程序是否能够实现安全编码: Parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7; ... s5: next_state =s0; S6: next_state =s0; S7: next_state =s0; default:begin next_state =s0;

30、状态机主控时序过程是指负责状态机运转和在时钟驱动下负责状态机转换的过程。

31、下列关于5状态的状态机说明部分的程序是否正确 parameter [2:0] s0=0, s1=1,s2=2,s3=3,s4=4; reg [2:0] current_state, next_state;

32、Moore型状态机的输出是当前状态和所有输入信号的函数,不依赖时钟同步。

33、Moore型状态机输出仅为当前状态的函数,输入发生变化需要等待时钟的到来,时钟使状态发生变化时才导致输出的变化。

34、AD0809一个完整的采样周期中,状态机最先启动的是CLK为敏感信号的时序过程,接着是组合过程,最后被启动的是锁存过程。

35、下面关于序列检测器的功能描述是否正确 序列检测器用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组二进制码后,如果该组码与检测器预先设置码相同,则输出1。

36、序列检测器检测过程中正确码的收到必须是连续的,要求序列检测器必须记住前一次的正确码及正确序列。

37、序列检测器只能是通过Moore型状态机实现功能。

38、Mealy型状态机的组合过程结构中的输出信号是当前状态和当前输入的函数。

39、`define 定义全局符号全量,可在不同的模块中通用,定义语句放在module模块语句外;parameter定义常量在模块语句中,具有局部变量特征。

40、针对一位热码编码方式的特点,正常状态只能有一个触发器的状态为1,可以在状态机设计程序中加入对状态编码中1的个数是否大于1的监测判断逻辑。

41、从时序上看Moore型状态机属于____状态机。

实验4:序列检测器设计

单元测验-实验4

1、根据以下代码,判断rst_n信号: always@(posedge clk) begin if (!rst_n) q<=a;
A、同步,高电平有效
B、同步,低电平有效
C、异步,低电平有效
D、异步,高电平有效

2、下列关于状态机说法错误的是:
A、moore机的输出只与当前状态有关
B、mealy机的输出与当前状态和输入都有关
C、在Verilog代码中,求次态和输出,必须用case语句。
D、体现在verilog代码中就是,moore机的最后输出逻辑只判断当前状态,mealy机的输出逻辑中判断当前状态和输入

3、实验中序列检测器的时序过程(带posedge的always过程)完成
A、下一个状态的计算
B、在时钟的上升沿,把下一个状态赋值给当前状态
C、当有异步复位信号有效时,把当前状态赋值为 状态0
D、计算当前状态的输出

4、序列检测器除了用有限状态机方法外还可以用其他方法进行设计

5、Moore有限状态机能够嵌套

作业-实验4报告

1、学习“实验4:序列检测器设计(1)”,重复实验过程 1. 提交代码截图(在Quartus/Vivado中) 2. 提交仿真截图

系统设计优化

单元测验-第9章

1、下列哪个优化方式不属于面积优化
A、流水线优化
B、逻辑优化
C、串行化
D、资源共享

2、下列哪种优化方式不属于速度优化
A、资源共享
B、流水线设计
C、乒乓操作法
D、寄存器配平

3、有一个设计是2级流水线,经过优化后修改为4级流水线,那么该设计的速度最多可以提升为
A、原来的1.5倍
B、原来的2倍
C、原来的3倍
D、原来的4倍

4、采用关键路径法,需要依赖什么EDA工具
A、功能仿真器
B、综合器
C、静态时序分析器
D、FPGA

5、使用逻辑优化,一定会降低速度

实验5:乐曲硬件演奏电路设计

单元测试-实验5

1、实验中接蜂鸣器的输出频率必须要什么范围内,才可能正确听到
A、至少100KHz以上
B、至少在20~20KHz,最好在能低于10KHz
C、大于50KHz
D、小于1MHz均可

2、实验中音乐的乐谱是存在什么模块里面?
A、ROM
B、计数器
C、译码器
D、LUT

3、实验中音阶音调的生成是通过什么来实现的
A、节拍发生器
B、模可控计数器
C、乘积项
D、蜂鸣器

4、如果需要把一个新的乐曲放入实验设计中,那么应该更改那个模块中的内容
A、ROM/RAM
B、计数器
C、节拍控制器
D、音阶发生器

5、在verilogHDL语言中,整型数据和( )位的寄存器数据在实际意义上是相同的。
A、8
B、16
C、32
D、64

6、在VerilogHDL中,a=4b’1101,则&a=( )
A、4b’1101
B、4b’0000
C、1b’1
D、1b’0

作业-实验5报告

1、学习“实验5:乐曲硬件演奏电路设计(1)(1)”重复实验过程 1. 提交代码截图(在Quartus/Vivado中) 2. 提交仿真截图

数字系统设计与C综合

单元测试-第10章

1、RISC CPU设计中的寄存器组(寄存器整列)可以使用什么构建
A、片内RAM
B、ROM
C、IO端口
D、PLL

2、FPGA与MCU可以采用哪些方式
A、UART
B、FSMC
C、CPLD
D、SPI

3、对于C综合的说法,哪些是正确的
A、把C/C++函数转化为RTL的HDL代码
B、把C/C++函数转化为在FPGA开发环境中可以使用的IP模块
C、把C/C++转化为汇编代码
D、把HDL转化为C/C++代码

4、C综合属于HLS

考试

EDA技术与Verilog课程(第3期)期末考试

1、下列哪一个不是Verilog的关键词:
A、assign
B、always@
C、reg
D、dout

2、下列哪一个关键词将引导出用户自定义原语(UDP)逻辑功能的真值表。
A、case_endcase
B、begin_end
C、module_endmodule
D、table_endtable

3、下列哪个数字最小:
A、4’b0110
B、8’h11
C、8’d12
D、0100

4、C=4’b1100,D=4’b1011,下列哪一个是正确的:
A、~C=4’b0001
B、C|D=4’b1110
C、C&D=4’b1000
D、C^D=4’b0101

5、A=4’b1101,B=4’b1011,定义S为S[7:0],下列正确的是:
A、S=A+B=8’b00011001
B、S=A-B=8’b11101000
C、S=A*B=8’b10001111
D、S=A%3=8’b00000010

6、下列哪个不是Verilog中的循环语句关键词:
A、for
B、while
C、localparam
D、repeat

7、含异步复位和时钟使能的D触发器 module DFF2(CLK,D,Q,RST,EN); output Q; input CLK,D,RST,EN; reg Q; always @(posedge CLK or negedge____) begin if (!RST) Q <= 0; else if (EN) Q <= D; end endmodule 空格处应该填入:
A、EN
B、0
C、RST
D、Q

8、基本锁存器 module LATCH1(CLK,D,Q); output Q ; input CLK,D; reg Q; always @(D or ____) if(CLK) Q <= D; endmodule 空格处应该填入:
A、1
B、0
C、Q
D、CLK

9、异步时序电路 module AMOD(D,A,CLK,Q); output Q; input A,D,CLK; reg Q,Q1; always @(posedge CLK) Q1 <= ~(A | Q); always @(posedge ____ ) Q <= D; endmodule 空格处应该填入:
A、CLK
B、Q1
C、D
D、A

10、4位右移寄存器 module SHIF4(DIN,CLK,RST,DOUT); input CLK,DIN,RST; output DOUT; reg [3:0] SHFT; always@(posedge CLK or posedge RST) if (RST) SHFT<=4’B0; else begin SHFT<=(____);SHFT[3]<=DIN;end assign DOUT=SHFT[0]; endmodule 空格处应该填入:
A、SHFT[2]
B、0
C、SHFT>>1
D、SHFT<<1

11、同步加载计数器 module FDIV0(input CLK, RST,input [3:0] D, output PM, output [3:0] DOUT); reg [3:0] Q1; reg FULL; wire LD; always@(posedge CLK or negedge RST) if (!RST) begin Q1<=0; FULL<=0; end else if (____) begin Q1<=D; FULL<=1; end else begin Q1<=Q1+1; FULL<=0; end assign LD=(Q1==4’b1111); assign PM=FULL; assign DOUT=Q1; endmodule 空格处应该填入:
A、RST
B、PM
C、LD
D、CLK

12、编译时出现了以下错误提示: Error (10219): Verilog HDL Continuous Assignment error at dec4_16x.v(13): object "yn" on left-hand side of assignment must have a net type 而代码中的第13行为“assign yn = ~y;” 这里代码的错误可能是什么?
A、变量类型定义错误
B、赋值方式错误
C、标识符定义不合规范
D、语句结尾漏了“:”

13、若欲将仿真信号的数据显示格式设置为16进制,应选择属性为:
A、Octal
B、Hexadecimal
C、Unsigned Decimal
D、Fractional

14、下列代码含义为( ), “input [2:0]X /*synthesis chip_pin= “AA4,AA5,Y2”*/;
A、定义矢量X
B、定义变量AA4,AA5,Y2
C、定义矢量X的值为AA4,AA5,Y2
D、将矢量X的引脚锁定到AA4,AA5,Y2

15、下列代码含义为( ), (*synthesis,keep*) reg[3:0]X;
A、定义矢量X为寄存器类型
B、综合优化矢量X
C、规定矢量X为测试端口,需要保留
D、为矢量X定义引脚锁定

16、下列哪种优化方式不是面积优化
A、流水线设计
B、资源共享
C、串行化
D、逻辑优化

17、下列哪种优化方式不是速度优化
A、串行化
B、流水线优化
C、寄存器配平
D、乒乓操作

18、下列对于流水线的说法那个是对的:
A、只要增加流水线级数,系统速度就可以无限地提高上去
B、从无流水线设计更改到2级流水线设计,速度最多提升一倍
C、一个5级流水线,系统时钟频率为Fclk,单个输入数据从输入到处理完输出,延迟为1/Fclk
D、在现代CPU设计中,很少使用流水线设计

19、下列哪个时序参数可以表征系统速度
A、Tsu
B、Thold
C、Tpd
D、Fmax

20、在同步数字系统设计中,优化速度,其实是:
A、提高系统时钟频率
B、减低组合电路复杂度
C、节省资源
D、去掉竞争冒险现象

21、下列Moore型状态机采用Verilog语言说明部分正确的是:
A、parameter [2:0] s0=0, s1=1,s2=2,s3=3,s4=4; reg [2:0] current_state, next_state;
B、parameter [1:0] s0=0, s1=1,s2=2,s3=3,s4=4; reg [1:0] current_state, next_state;
C、TYPE FSM_ST IS (s0, s1,s2,s3,s4); SIGNAL current_state, next_state: FSM_ST;
D、typedef enum { s0, s1,s2,s3,s4} type_user; type_user current_state, next_state

22、ADC 0809采样结束后需要通过LOCK向锁存器LATCH发出锁存信号,以便将输出口的D[7:0]8位数据锁存起来,下列程序当中能够实现数据锁存功能的是()
A、always@(posedge LOCK) if (LOCK) REGL<=D;
B、always@(posedge LOCK) if (!LOCK) REGL<=D;
C、always@(posedge RST) if (!LOCK) REGL<=D;
D、always@(posedge RST or posedge LOCK ) if (!LOCK) REGL<=D;

23、设计一个序列检测器8位的序列检测器,选择下列程序完成初始化部分: module detect( //检测序列 input clk, input , input data, output sout, ); s0 = 0, s1= 1, s2 = 2, s3 = 3, s4 = 4, s5 = 5, s6 = 6, s7 = 7, s8 = 8; reg [3:0] c_st,next_st; always @(posedge clk,negedge reset_n) if(!reset_n) c_st <= 0; else ; .... endmodule
A、reset_n, paremeter, c_st <= next_st
B、reset_n, define, c_st <= next_st
C、!reset_n, define, c_st <=s0
D、reset_n, paremeter, c_st <=s1

24、下列程序为8位序列检测器组合过程,选择下列程序完成填空: case(c_st) s0 : if(data_in==1) next_st = s1; else next_st = s0; s1 : if(data_in==1) next_st = s2; else next_st = s0; s2 : if(data_in==0) next_st = s3; else next_st = s0; s3 : if(data_in==1) next_st = s4; else next_st = s0; s4 : if(data_in==0) next_st = s5; else next_st = s0; s5 : if(data_in==0) next_st = s6; else next_st = s0; s6 : if(data_in==1) next_st = s7; else next_st = s0; s7 : if(data_in==1) next_st = s8; else next_st = s0; s8 : if(data_in==0) next_st = s3; else next_st = s0; default : ; endcase assign sout ;
A、next_st = s0, = (c_st == s8)
B、next_st = s1, = (c_st == s0)
C、next_st <= c_st, = (c_st <= s8)
D、next_st <= c_st, = (c_st == s0)

25、定义状态机当前状态为state ,次态为next _state; 输入a,输出b, 则下列为Mealy状态机的写法是:
A、always@(posedge clk) case (state ) 0:next_state<=1; 1:next_state<=x;
B、always@(posedge clk) case (state ) 0: if(a==0)next_state<=1; else next_state<=x; 1:next_state<=x;
C、always@(posedge clk) case (state ) 0: if(state==0)next_state<=1; else next_state<=x; 1:next_state<=x;
D、以上都正确

26、依据Mealy型状态机设计过程,选择正确的程序填入空白处:module FSM_1 ( input clk , input rst_n ,input [1:0] in1 ,input [1:0] in2,output reg [1:0] out );param eter S0= 4'b0001, S1= 4'b0010, S2 = 4'b0100, S3= 4'b1000 ,reg [3:0] state ; always@(posedge clk or negedge rst_n)begin if(!rst_n) ; else case(state) S0: begin if(in2==1) ; else out<= 1; if(in1==1) state <= S1; else state <= S0; end S1:begin if(in2==1) out<= 0; else out<= 1; if(in1==1) state <= S1; else state <= S0; end ...... default: begin: state <= S0; out<= 0; endmodule
A、state <= S0; out<= 0;
B、rst_n <= S0; out<= 0;
C、state <= S1; out<= 1;
D、rst_n <= S0; state <= 0;

27、在Verilog中定义了宏名?`define?sum?a+b+c?下面宏名引用正确的是(??)
A、out=’sum+d;?
B、out=sum+d;?
C、out=`sum+d;?
D、都正确

28、下列编码方式中采用顺序编码的是
A、0000—0001—0010—0011
B、0001—0010—0100—1000
C、0000—1000—1100—1110
D、0000—1100—1000—1110

29、Verilog Test Bench为待测模块的所有输入信号定义产生激励信号的信号名和数据类型,要求其数据类型必须是____类型.
A、Reg
B、Wire
C、input
D、output

30、Verilog Test Bench为待测模块的所有输出信号定义信号名和数据类型,要求其数据类型必须是____类型.
A、Reg
B、Wire
C、input
D、output

31、module test; reg [31:0] a; initial begin #10 a=50; $strobe("strobe: value of a = %0d\n",a); $display("display: value of a = %0d\n",a); a=30; end endmodule
A、display: value of a = 30 strobe: value of a = 30
B、display: value of a = 50 strobe: value of a = 30
C、display: value of a = 30 strobe: value of a = 50
D、display: value of a = 50 strobe: value of a = 50

32、对于该语句assign #(4,3,6) out = ~bus;如果右侧表达式的结果是x,则延迟为____。
A、4
B、3
C、6
D、未知

33、以下程序产生的是占空比____的时钟信号? parameter High_time = 5,Low_time = 20; reg clk; always begin clk = 1; #High_time; clk = 0; #Low_time; End
A、20%
B、10%
C、40%
D、50%

34、下列对HLS的说法不正确的是:
A、高层次综合
B、C综合是HLS的一种
C、仅仅是一种用来做仿真的技术
D、关注系统级描述

35、下列中关于C综合,那种说法是正确的
A、完成从C代码到HDL转换
B、完成汇编到C代码的转换
C、把HDL代码编译成C语言函数
D、嵌入式系统的一种应用

36、EDA发展历程,下列中那个次序是对的?
A、电子CAD→电子CAE→EDA
B、ESDA→电子CAD→电子CAE
C、CPLD→简单PLD→FPGA
D、电子CAE→机械CAD→EDA

37、module cnt32 ( input clk, output reg[31:0] q ); always @(posedge clk) q = q + 1'b1; endmodule 上述HDL程序是用什么语言写的?
A、C++
B、Java
C、Verilog
D、VHDL

38、Verilog RTL代码经过综合后生成:
A、门级网表
B、二进制指令序列
C、行为及代码
D、PCB

39、ModelSim是那种EDA工具:
A、综合器
B、下载器
C、仿真器
D、适配器

40、以下哪个可编程器件是基于与阵列可编程或阵列不可编程的原理:
A、PLA
B、FPGA
C、PROM
D、PAL

41、以下关于CPLD的描述正确的是:
A、可编程逻辑器件
B、掉电程序会丢失
C、需要使用配置芯片
D、基于查找表

42、下列对FPGA结构与工作原理的描述错误的是:
A、基于SRAM的FPGA器件,在每次掉电后会丢失里面已经下载的设计;
B、在Intel的器件中,CYCLONE IV系列属FPGA结构;
C、FPGA是基于查找表结构的可编程逻辑器件;
D、FPGA全称为复杂可编程逻辑门器件。

43、下面哪一个不是标识符:
A、模块名
B、信号名
C、关键词
D、端口名

44、下列哪一个是正确的:
A、(3==5)=1
B、(3’bx10===4’b0x10)=0
C、(4’b0z10!==4’b0z10)=1
D、(8!=9)=0

45、A=4’b1011, B=4’b1000,则下列正确的是:
A、(A>B)=1
B、(A<B)=1
C、(A>15)=1
D、(B<11)=0

46、output signed [7:0] y; input signed [7:0] a; assign y=(a>>>2); 若a=10101011,则输出y等于:
A、00101010
B、10101100
C、11101010
D、10101111

47、若底层的模块语句和参数表述为module SUB #(parameter S1=5, parameter S2=8, parameter S3=1) (A,B,C); 在上层的例化语句中的表述为SUB #(.S1(7), .S2(3), .S3(9)) U1(.A(AP), .B(BP), .C(CP)); 则例化后,S2给定的值为:
A、8
B、3
C、9
D、7

48、含同步复位控制的D触发器 module DFF2(input CLK, input D, input RST, output reg Q); always@(posedge CLK) Q<=____?0:D; endmodule 空格处应该填入:
A、CLK
B、RST
C、Q
D、D

49、含清0控制的锁存器 module LATCH2(CLK,D,Q,RST); output Q; input CLK,D,RST; assign Q=(!RST)? ____:(CLK?D:Q); endmodule 空格处应该填入:
A、CLK
B、D
C、Q
D、0

50、含清0控制的锁存器 module LATCH3(CLK,D,Q,RST); output Q; input CLK,D,RST; ____ Q; always@(D or CLK or RST) if (!RST) Q<=0; else if (CLK) Q<=D; endmodule 空格处应该填入:
A、reg
B、input
C、RST
D、CLK

51、下列哪一个表述是正确:
A、always@(posedge CLK or RST)
B、always@(posedge CLK or negedge RST or A)
C、always@(posedge CLK or D or Q)
D、always@(posedge CLK or negedge RST)

52、module CNT4 (CLK,Q); output [3:0] Q; input CLK; reg [3:0] Q ; always @(posedge ____) Q <= Q+1 ; endmodule
A、CLK
B、output
C、[3:0]
D、Q

53、always @(A,B) begin M1 <= A ; M2 <= B&M1; Q <= M1|M2; end 当A和B同时从0变为1后,M1,M2与Q分别为多少:
A、0, 0, 0
B、1, 1, 1
C、1, 0, 0
D、1, 1, 0

54、begin Y1 <= #5 A^B; Y2 <= #4 A|B; Y3 <= #8 A&B; end 以上语句共耗时多少个时间单位:
A、5
B、4
C、17
D、8

55、module andd(A,B,Q); output Q ; input A,B; reg Q; always @(A,B ) if (A==0) if (B==0) Q=0; else Q=1; endmodule 其中,else Q=1;与哪句语句对应:
A、always @(A,B )
B、if (A==0)
C、if (B==0) Q=0;
D、endmodule

56、module andd(A,B,Q); output Q; input A,B; reg Q; always @(A,B ) if (A==0) begin if(B==0) Q=0; end else Q=1; endmodule 其中,else Q=1;与哪句语句对应:
A、always @(A,B )
B、if (A==0)
C、if (B==0) Q=0;
D、endmodule

57、下列代码描述的是什么电路?
A、数据选择器
B、半加器
C、全加器
D、信号发生器

58、4位BCD码(相当于16位二进制数)加法器,如果低位BCD码的和大于等于10,则使和加上多少且有进位?
A、4
B、5
C、6
D、7

59、以下程序描述了几个多路选择器? module test1(A, B, C, clk, rst, EN, dataout); input clk,rst; input A,B,C,EN; output dataout; reg dataout; always@(posedge clk) if (!rst) dataout=1'b0; else if(EN) dataout=~(A&B); else dataout=C; endmodule
A、1
B、2
C、3
D、4

60、当en等于低电平,下列语句将执行 assign dout=en?a^b:a|b;
A、a^b
B、b:a
C、a|b
D、a

61、这段代码的错误是在第几行?
A、1
B、6
C、12
D、14

62、该程序描述的存储单元数为?
A、7
B、8
C、128
D、256

63、以下是哪个层级的描述?
A、行为级
B、RTL级
C、门级
D、MOS级

64、从算法表述转换到寄存器传输级的表述是指?
A、自然语言综合
B、行为综合
C、逻辑综合
D、版图综合

65、LPM是指
A、参数可设置模块库
B、IP
C、嵌入式存储器
D、嵌入式阵列块

66、下列程序描述的q是几位的? module cnt10(input clk,output reg[7:0] q); always @(posedge clk) q = q + 1; endmodule
A、7
B、8
C、10
D、12

67、从左图变换到右图是哪一方面的优化?
A、资源优化
B、速度优化
C、流水线
D、串行化

68、程序1到程序2使用了什么优化方法?
A、资源优化
B、资源共享
C、寄存器配平
D、串行化

69、从上图变换到下图是使用了什么优化方法?
A、逻辑优化
B、流水线优化
C、乒乓操作法
D、寄存器配平

70、以下优化方法完全属于速度优化的是:
A、资源共享、流水线设计、寄存器配平法
B、逻辑优化、流水线设计、关键路径法
C、串行化、寄存器配平法、关键路径法
D、流水线设计、乒乓操作法、寄存器配平法

71、阅读下列代码,说明这段代码的所描述电路的功能是什么 module test (A,Y,Yn); input [3:0] A; output reg [15:0] Y; output [15:0] Yn; reg i=0; always @* if (i==A) Y[i]<=1; else begin Y[i]<=0; i=i+1b'1; end assign Yn= ~Y endmodule
A、编码器
B、译码器
C、数据选择器
D、计数器

72、以下程序中产生的是占空比____的时钟信号? `define High_time 4 `define Low_time 16; reg clk; always begin clk = 0; #High_time; clk = 1; #Low_time; end
A、50%
B、25%
C、33%
D、75%

73、阅读下列代码,说明这段代码的所描述电路的功能是什么 module fulladder(A,B,cin,sum,cout); input [3:0]A,B; input cin; output reg [3:0]sum; output reg cout; always @(A,B,cin) if( cin) { cout,sum}<=A+B+1'b1; else { cout,sum}<=A+B; endmodule
A、一位全加器
B、四位全加器
C、BCD码加法器
D、四位减法器

74、阅读下列代码,说明这段代码的所描述电路的功能是什么
A、比较大小
B、数据选择器
C、数据分配器
D、乘法器

75、为了提高系统速度,下图采用什么优化方法。
A、关键路径法
B、乒乓操作法
C、流水线设计
D、寄存器配平

76、阅读下列代码,说明这段代码的所描述电路的功能是什么
A、排序
B、找出最大值
C、找出最小值
D、数值配对

77、Verilog HDL是由( )语言演化来的。
A、BASIC
B、C语言
C、PASCAL
D、VHDL

78、在Verilog HDL模块的I/O声明中,用来声明端口数据流动方向的关键字包括( )。
A、input
B、output
C、inout
D、以上均可

79、用Verilog HDL的assign语句建模的方法一般称为( )。
A、连续赋值
B、并行赋值
C、串行赋值
D、函数赋值

80、在下列符号组中,( )不能作为Verilog HDL的标识符。
A、CT74138
B、74LS138
C、_74138
D、CT74138_

81、在下列Verilog HDL的操作符中,优先级最高的是( )操作符。
A、&&
B、||
C、!
D、*

82、以下程序产生的是占空比( )的时钟信号? `define High_time 4 `define Low_time 16; reg clk; always begin clk = 0; #High_time; clk = 1; #Low_time; end
A、15%
B、25%
C、50%
D、75%

83、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述错误的是( )。
A、基于SRAM的FPGA器件,在每次掉电后会丢失里面已经下载的设计;
B、在Intel公司生产的器件中,CYCLONE系列属FPGA结构;
C、FPGA是基于查找表结构的可编程逻辑器件;
D、FPGA全称为复杂可编程逻辑门器件。

84、状态机编码方式中,其中( )占用触发器较多,但其实现比较适合FPGA的应用
A、状态位直接输出型编码
B、顺序编码
C、一位热码编码
D、以上都不是

85、FPGA的可编程是主要基于什么结构( )。
A、ROM可编程
B、查找表(LUT)
C、PAL可编程
D、与或阵列可编程乘积项

86、状态机编码方式中,其中( )输出没有毛刺,但难以控制非常状态输出。
A、状态位直接输出型编码
B、顺序编码
C、一位热码编码
D、以上都不是

87、关于我们课内实验所用的器件Cyclone10LP256C8,说法错误是( )。
A、是一种FPGA器件
B、有256个引脚
C、Xilinx公司生产的高端器件
D、可以使用Quartus软件进行设计开发

88、IP核在EDA技术和开发中具有十分重要的地位,以掩膜方式提供的IP被称为( )。
A、胖IP
B、瘦IP
C、硬IP
D、都不是

89、状态机的设计中,对输出信号消除毛刺的方法有多种,以下哪种方法不能消除毛刺?( )
A、使用单进程MOORE型状态机进行设计
B、对输出信号添加辅助进程进行锁存
C、使用状态位直接输出型编码方式进行设计
D、使用MEALY型状态机进行设计

90、关于Verilog HDL语言中的数字表示,下列四个数字中最大的是( )
A、237
B、8`B10011111
C、8`O356
D、8`H9E

91、过程中的非阻塞型赋值语句,其数值更新是( )
A、立即完成
B、按顺序完成
C、在过程的最后完成
D、都不对

92、下列属于FPGA的编程下载文件的有
A、.sof文件
B、.qpf文件
C、.jic文件
D、.pof文件

93、以下是QuartusII提供的调试工具的是()
A、Signal Probe
B、Signal Tap II
C、In-System Memory Content Editor
D、In-System Sources and Probes

94、在同步数字系统设计中,优化速度,其实是:
A、提高系统时钟频率
B、减低组合电路复杂度
C、节省资源
D、去掉竞争冒险现象

95、由于状态机的剩余状态的处理会不同程度的耗用逻辑资源,要求状态机设计过程中需要考虑:()。
A、选用状态机的结构类型
B、状态机编码方式选择
C、状态机容错技术几系统的工作速度
D、资源利用率

96、下述程序中能够实现安全状态机设计的是:
A、Parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7; ... s5: next_state =s0; S6: next_state =s0; S7: next_state =s0; default:begin next_state =s0;
B、`define s0=1,s1=2,s2=4,s3=4,s4=8 s5: next_state =s1; S6: next_state =s1; S7: next_state =s2; default:begin next_state =s0;
C、Parameter s0=40,s1=41,s2=42,s3=43,s4=44,s5=45,s6=46,s7=47; ... s5: next_state =s0; S6: next_state =s0; S7: next_state =s0;
D、以上都正确

97、以下属于Verilog仿真流程的是:
A、程序输入
B、程序编译
C、仿真库管理
D、仿真
E、波形或数据的结果显示

98、启动TestBench仿真后,在全程编译中,以下哪些被执行?
A、针对工程设计文件进行编译和综合
B、检查TestBench程序的错误
C、生成用于完成时序仿真的网表文件
D、弹出仿真波形

99、启动TestBench仿真后,在全程编译中,以下哪些被执行?
A、针对工程设计文件进行编译和综合
B、检查TestBench程序的错误
C、生成用于完成时序仿真的网表文件
D、弹出仿真波形

100、以下属于ModelSim中Verilog仿真波形显示数据格式的是:
A、Binary
B、Octal
C、Decimal
D、Unsigned
E、Hexadecimal

101、以下属于文件操作的系统任务有:
A、$fopen
B、$fstrobe
C、$fdisplay
D、$fmonitor

102、Quartus具有哪些类型EDA工具的功能:
A、综合器
B、下载器
C、仿真器
D、适配器

103、IP是EDA技术中不可或缺的一部分,下列哪些是常见处理器IP
A、PCIe
B、ARM Cortex-M33
C、MIPS
D、NiosII
E、RISC-V RV32I
F、IEEE 1284

104、SOPC包含:
A、CPU Core
B、Interfaces & Peripherals
C、Memory
D、Software

105、从结构上看,PLD器件能够分为以下几类结构:
A、基于查找表结构
B、基于与阵列可编程
C、基于或阵列可编程
D、基于乘积项逻辑可编程

106、以下可编程器件原理基于与或阵列的有:
A、PLA
B、FPGA
C、PROM
D、GAL

107、以下关于FPGA的描述正确的是:
A、可编程逻辑器件
B、掉电程序会丢失
C、需要使用配置芯片
D、基于查找表

108、在JTAG边界扫描测试,以下关于边界扫描I/O引脚功能的描述正确的是:
A、TDI测试数据输入
B、TDO测试数据输出
C、TEN测试使能
D、TCK测试时钟输入

109、JTAG接口有哪些功能:
A、软硬件测试
B、编程下载
C、在线逻辑分析
D、仿真

110、FPGA配置方式包括:
A、JTAG
B、PROM
C、PAL
D、PS
E、AS

111、下面哪些是Verilog的关键词
A、input
B、a
C、module
D、y

112、module SHIF4(DIN,CLK,RST,DOUT); input CLK,DIN,RST; output DOUT; reg [3:0] SHFT; always@(posedge CLK or posedge RST) if (RST) SHFT<=4'B0; else begin SHFT<=(SHFT>>1);SHFT[3]<=DIN;end assign DOUT=SHFT[0]; endmodule 该程序实现的功能是:
A、左移移位寄存器
B、右移移位寄存器
C、同步清零

学习通EDA技术与Verilog_1

EDA(Electronic Design Automation)技术是电子设计自动化技术的简称,是指利用计算机辅助设计、模拟和优化电子电路的技术。Verilog则是一种硬件描述语言,用于描述数字电路和系统的行为、结构和时序。

EDA技术的应用

EDA技术的应用包括电路设计、布局和布线、逻辑模拟、时序分析、芯片验收和设计验证等领域。

  • 电路设计:EDA技术可以帮助设计师更快速、更精确地设计电路结构,提高电路的可靠性和效率。
  • 布局和布线:EDA技术可以自动完成电路元器件的布局和连线,减少设计师的工作量和设计错误。
  • 逻辑模拟:EDA技术可以对电路进行仿真,验证电路的正确性和性能。
  • 时序分析:EDA技术可以对电路的时序进行分析,确定电路的最大工作频率和时序误差。
  • 芯片验收:EDA技术可以对芯片进行测试和验证,确保芯片符合设计要求。
  • 设计验证:EDA技术可以对设计进行验证,确保设计达到预期目标。

Verilog的应用

Verilog是一种常用的硬件描述语言,使用代码来描述数字电路和系统的行为、结构和时序。

  • 数字电路设计:Verilog可以帮助设计师更快速、更精确地设计数字电路,提高电路的可靠性和效率。
  • 系统设计:Verilog可以描述系统的行为、结构和时序,对系统进行仿真和验证。
  • 嵌入式系统开发:嵌入式系统通常包含数字电路和软件,Verilog可以与C语言等软件语言结合使用,实现嵌入式系统开发。

学习EDA技术和Verilog的方法

学习EDA技术和Verilog需要具备一定的电路基础知识和编程能力,可以通过以下方法进行学习:

  1. 参加相关课程:可以参加学校或培训机构的EDA技术和Verilog课程,系统地学习相关知识。
  2. 阅读相关书籍:可以阅读电路设计和Verilog编程的相关书籍,扩展知识面。
  3. 参与项目开发:可以参与相关项目的开发,实践应用EDA技术和Verilog编程。
  4. 参加竞赛活动:可以参加相关的电子设计、Verilog设计竞赛等活动,提升技能水平。

总结

EDA技术和Verilog是现代电子设计和数字系统开发中必不可少的工具和技术,掌握这些技能可以提高电路设计和系统开发的效率和可靠性。通过系统的学习和实践,可以不断提升自己的能力和技术水平。

学习通EDA技术与Verilog_1

EDA(Electronic Design Automation)技术是电子设计自动化技术的简称,是指利用计算机辅助设计、模拟和优化电子电路的技术。Verilog则是一种硬件描述语言,用于描述数字电路和系统的行为、结构和时序。

EDA技术的应用

EDA技术的应用包括电路设计、布局和布线、逻辑模拟、时序分析、芯片验收和设计验证等领域。

  • 电路设计:EDA技术可以帮助设计师更快速、更精确地设计电路结构,提高电路的可靠性和效率。
  • 布局和布线:EDA技术可以自动完成电路元器件的布局和连线,减少设计师的工作量和设计错误。
  • 逻辑模拟:EDA技术可以对电路进行仿真,验证电路的正确性和性能。
  • 时序分析:EDA技术可以对电路的时序进行分析,确定电路的最大工作频率和时序误差。
  • 芯片验收:EDA技术可以对芯片进行测试和验证,确保芯片符合设计要求。
  • 设计验证:EDA技术可以对设计进行验证,确保设计达到预期目标。

Verilog的应用

Verilog是一种常用的硬件描述语言,使用代码来描述数字电路和系统的行为、结构和时序。

  • 数字电路设计:Verilog可以帮助设计师更快速、更精确地设计数字电路,提高电路的可靠性和效率。
  • 系统设计:Verilog可以描述系统的行为、结构和时序,对系统进行仿真和验证。
  • 嵌入式系统开发:嵌入式系统通常包含数字电路和软件,Verilog可以与C语言等软件语言结合使用,实现嵌入式系统开发。

学习EDA技术和Verilog的方法

学习EDA技术和Verilog需要具备一定的电路基础知识和编程能力,可以通过以下方法进行学习:

  1. 参加相关课程:可以参加学校或培训机构的EDA技术和Verilog课程,系统地学习相关知识。
  2. 阅读相关书籍:可以阅读电路设计和Verilog编程的相关书籍,扩展知识面。
  3. 参与项目开发:可以参与相关项目的开发,实践应用EDA技术和Verilog编程。
  4. 参加竞赛活动:可以参加相关的电子设计、Verilog设计竞赛等活动,提升技能水平。

总结

EDA技术和Verilog是现代电子设计和数字系统开发中必不可少的工具和技术,掌握这些技能可以提高电路设计和系统开发的效率和可靠性。通过系统的学习和实践,可以不断提升自己的能力和技术水平。